123456789101112131415161718192021222324252627282930313233343536373839404142434445464748495051525354555657585960616263646566676869707172737475767778798081828384858687888990919293949596979899100101102103104105106107108109110111112113114115116117118119120121122123124125126127128129130131132133134135136137138139140141142143144145146147148149150151152153154155156157158159160161162163164165166167168169170171172173174175176177178179180181182183184185186187188189190191192193194195196197198199200201202203204205206207208209210211212213214215216217218219220221222223224225226227228229230231232233234235236237238239240241242243244245246247248249250251252253254255256257258259260261262263264265266267268269270271272273274275276277278279280281282283284285286287288289290291292293294295296297298299300301302303304305306307308309310311312313314315316317318319320321322323324325326327328329330331332333334335336337338339340341342343344345346347348349350351352353354355356357358359360361362363364365366367368369370371372373374375376377378379380381382383384385386387388389390391392393394395396397398399400401402403404405406407408409410411412413414415416417418419420421422423424425426427428429430431432433434435436437438439440441442443444445446447448449450451452453454455456457458459460461462463464465 |
- library IEEE;
- use IEEE.std_logic_1164.all;
- use IEEE.numeric_std.all;
- entity nios2_uc is
- port (
- clk_clk : in std_logic := '0';
- pio_led_ext_conn_export : out std_logic_vector(31 downto 0);
- reset_reset_n : in std_logic := '0'
- );
- end entity nios2_uc;
- architecture rtl of nios2_uc is
- component nios2_uc_jtag_uart is
- port (
- clk : in std_logic := 'X';
- rst_n : in std_logic := 'X';
- av_chipselect : in std_logic := 'X';
- av_address : in std_logic := 'X';
- av_read_n : in std_logic := 'X';
- av_readdata : out std_logic_vector(31 downto 0);
- av_write_n : in std_logic := 'X';
- av_writedata : in std_logic_vector(31 downto 0) := (others => 'X');
- av_waitrequest : out std_logic;
- av_irq : out std_logic
- );
- end component nios2_uc_jtag_uart;
- component nios2_uc_nios2 is
- port (
- clk : in std_logic := 'X';
- reset_n : in std_logic := 'X';
- reset_req : in std_logic := 'X';
- d_address : out std_logic_vector(19 downto 0);
- d_byteenable : out std_logic_vector(3 downto 0);
- d_read : out std_logic;
- d_readdata : in std_logic_vector(31 downto 0) := (others => 'X');
- d_waitrequest : in std_logic := 'X';
- d_write : out std_logic;
- d_writedata : out std_logic_vector(31 downto 0);
- debug_mem_slave_debugaccess_to_roms : out std_logic;
- i_address : out std_logic_vector(19 downto 0);
- i_read : out std_logic;
- i_readdata : in std_logic_vector(31 downto 0) := (others => 'X');
- i_waitrequest : in std_logic := 'X';
- irq : in std_logic_vector(31 downto 0) := (others => 'X');
- debug_reset_request : out std_logic;
- debug_mem_slave_address : in std_logic_vector(8 downto 0) := (others => 'X');
- debug_mem_slave_byteenable : in std_logic_vector(3 downto 0) := (others => 'X');
- debug_mem_slave_debugaccess : in std_logic := 'X';
- debug_mem_slave_read : in std_logic := 'X';
- debug_mem_slave_readdata : out std_logic_vector(31 downto 0);
- debug_mem_slave_waitrequest : out std_logic;
- debug_mem_slave_write : in std_logic := 'X';
- debug_mem_slave_writedata : in std_logic_vector(31 downto 0) := (others => 'X');
- dummy_ci_port : out std_logic
- );
- end component nios2_uc_nios2;
- component nios2_uc_onchip_memory2 is
- port (
- clk : in std_logic := 'X';
- address : in std_logic_vector(15 downto 0) := (others => 'X');
- clken : in std_logic := 'X';
- chipselect : in std_logic := 'X';
- write : in std_logic := 'X';
- readdata : out std_logic_vector(31 downto 0);
- writedata : in std_logic_vector(31 downto 0) := (others => 'X');
- byteenable : in std_logic_vector(3 downto 0) := (others => 'X');
- reset : in std_logic := 'X';
- reset_req : in std_logic := 'X';
- freeze : in std_logic := 'X'
- );
- end component nios2_uc_onchip_memory2;
- component nios2_uc_pio_LED is
- port (
- clk : in std_logic := 'X';
- reset_n : in std_logic := 'X';
- address : in std_logic_vector(1 downto 0) := (others => 'X');
- write_n : in std_logic := 'X';
- writedata : in std_logic_vector(31 downto 0) := (others => 'X');
- chipselect : in std_logic := 'X';
- readdata : out std_logic_vector(31 downto 0);
- out_port : out std_logic_vector(31 downto 0)
- );
- end component nios2_uc_pio_LED;
- component nios2_uc_mm_interconnect_0 is
- port (
- clk_50_clk_clk : in std_logic := 'X';
- nios2_reset_reset_bridge_in_reset_reset : in std_logic := 'X';
- nios2_data_master_address : in std_logic_vector(19 downto 0) := (others => 'X');
- nios2_data_master_waitrequest : out std_logic;
- nios2_data_master_byteenable : in std_logic_vector(3 downto 0) := (others => 'X');
- nios2_data_master_read : in std_logic := 'X';
- nios2_data_master_readdata : out std_logic_vector(31 downto 0);
- nios2_data_master_write : in std_logic := 'X';
- nios2_data_master_writedata : in std_logic_vector(31 downto 0) := (others => 'X');
- nios2_data_master_debugaccess : in std_logic := 'X';
- nios2_instruction_master_address : in std_logic_vector(19 downto 0) := (others => 'X');
- nios2_instruction_master_waitrequest : out std_logic;
- nios2_instruction_master_read : in std_logic := 'X';
- nios2_instruction_master_readdata : out std_logic_vector(31 downto 0);
- jtag_uart_avalon_jtag_slave_address : out std_logic_vector(0 downto 0);
- jtag_uart_avalon_jtag_slave_write : out std_logic;
- jtag_uart_avalon_jtag_slave_read : out std_logic;
- jtag_uart_avalon_jtag_slave_readdata : in std_logic_vector(31 downto 0) := (others => 'X');
- jtag_uart_avalon_jtag_slave_writedata : out std_logic_vector(31 downto 0);
- jtag_uart_avalon_jtag_slave_waitrequest : in std_logic := 'X';
- jtag_uart_avalon_jtag_slave_chipselect : out std_logic;
- nios2_debug_mem_slave_address : out std_logic_vector(8 downto 0);
- nios2_debug_mem_slave_write : out std_logic;
- nios2_debug_mem_slave_read : out std_logic;
- nios2_debug_mem_slave_readdata : in std_logic_vector(31 downto 0) := (others => 'X');
- nios2_debug_mem_slave_writedata : out std_logic_vector(31 downto 0);
- nios2_debug_mem_slave_byteenable : out std_logic_vector(3 downto 0);
- nios2_debug_mem_slave_waitrequest : in std_logic := 'X';
- nios2_debug_mem_slave_debugaccess : out std_logic;
- onchip_memory2_s1_address : out std_logic_vector(15 downto 0);
- onchip_memory2_s1_write : out std_logic;
- onchip_memory2_s1_readdata : in std_logic_vector(31 downto 0) := (others => 'X');
- onchip_memory2_s1_writedata : out std_logic_vector(31 downto 0);
- onchip_memory2_s1_byteenable : out std_logic_vector(3 downto 0);
- onchip_memory2_s1_chipselect : out std_logic;
- onchip_memory2_s1_clken : out std_logic;
- pio_LED_s1_address : out std_logic_vector(1 downto 0);
- pio_LED_s1_write : out std_logic;
- pio_LED_s1_readdata : in std_logic_vector(31 downto 0) := (others => 'X');
- pio_LED_s1_writedata : out std_logic_vector(31 downto 0);
- pio_LED_s1_chipselect : out std_logic
- );
- end component nios2_uc_mm_interconnect_0;
- component nios2_uc_irq_mapper is
- port (
- clk : in std_logic := 'X';
- reset : in std_logic := 'X';
- receiver0_irq : in std_logic := 'X';
- sender_irq : out std_logic_vector(31 downto 0)
- );
- end component nios2_uc_irq_mapper;
- component altera_reset_controller is
- generic (
- NUM_RESET_INPUTS : integer := 6;
- OUTPUT_RESET_SYNC_EDGES : string := "deassert";
- SYNC_DEPTH : integer := 2;
- RESET_REQUEST_PRESENT : integer := 0;
- RESET_REQ_WAIT_TIME : integer := 1;
- MIN_RST_ASSERTION_TIME : integer := 3;
- RESET_REQ_EARLY_DSRT_TIME : integer := 1;
- USE_RESET_REQUEST_IN0 : integer := 0;
- USE_RESET_REQUEST_IN1 : integer := 0;
- USE_RESET_REQUEST_IN2 : integer := 0;
- USE_RESET_REQUEST_IN3 : integer := 0;
- USE_RESET_REQUEST_IN4 : integer := 0;
- USE_RESET_REQUEST_IN5 : integer := 0;
- USE_RESET_REQUEST_IN6 : integer := 0;
- USE_RESET_REQUEST_IN7 : integer := 0;
- USE_RESET_REQUEST_IN8 : integer := 0;
- USE_RESET_REQUEST_IN9 : integer := 0;
- USE_RESET_REQUEST_IN10 : integer := 0;
- USE_RESET_REQUEST_IN11 : integer := 0;
- USE_RESET_REQUEST_IN12 : integer := 0;
- USE_RESET_REQUEST_IN13 : integer := 0;
- USE_RESET_REQUEST_IN14 : integer := 0;
- USE_RESET_REQUEST_IN15 : integer := 0;
- ADAPT_RESET_REQUEST : integer := 0
- );
- port (
- reset_in0 : in std_logic := 'X';
- reset_in1 : in std_logic := 'X';
- clk : in std_logic := 'X';
- reset_out : out std_logic;
- reset_req : out std_logic;
- reset_req_in0 : in std_logic := 'X';
- reset_req_in1 : in std_logic := 'X';
- reset_in2 : in std_logic := 'X';
- reset_req_in2 : in std_logic := 'X';
- reset_in3 : in std_logic := 'X';
- reset_req_in3 : in std_logic := 'X';
- reset_in4 : in std_logic := 'X';
- reset_req_in4 : in std_logic := 'X';
- reset_in5 : in std_logic := 'X';
- reset_req_in5 : in std_logic := 'X';
- reset_in6 : in std_logic := 'X';
- reset_req_in6 : in std_logic := 'X';
- reset_in7 : in std_logic := 'X';
- reset_req_in7 : in std_logic := 'X';
- reset_in8 : in std_logic := 'X';
- reset_req_in8 : in std_logic := 'X';
- reset_in9 : in std_logic := 'X';
- reset_req_in9 : in std_logic := 'X';
- reset_in10 : in std_logic := 'X';
- reset_req_in10 : in std_logic := 'X';
- reset_in11 : in std_logic := 'X';
- reset_req_in11 : in std_logic := 'X';
- reset_in12 : in std_logic := 'X';
- reset_req_in12 : in std_logic := 'X';
- reset_in13 : in std_logic := 'X';
- reset_req_in13 : in std_logic := 'X';
- reset_in14 : in std_logic := 'X';
- reset_req_in14 : in std_logic := 'X';
- reset_in15 : in std_logic := 'X';
- reset_req_in15 : in std_logic := 'X'
- );
- end component altera_reset_controller;
- signal nios2_data_master_readdata : std_logic_vector(31 downto 0);
- signal nios2_data_master_waitrequest : std_logic;
- signal nios2_data_master_debugaccess : std_logic;
- signal nios2_data_master_address : std_logic_vector(19 downto 0);
- signal nios2_data_master_byteenable : std_logic_vector(3 downto 0);
- signal nios2_data_master_read : std_logic;
- signal nios2_data_master_write : std_logic;
- signal nios2_data_master_writedata : std_logic_vector(31 downto 0);
- signal nios2_instruction_master_readdata : std_logic_vector(31 downto 0);
- signal nios2_instruction_master_waitrequest : std_logic;
- signal nios2_instruction_master_address : std_logic_vector(19 downto 0);
- signal nios2_instruction_master_read : std_logic;
- signal mm_interconnect_0_jtag_uart_avalon_jtag_slave_chipselect : std_logic;
- signal mm_interconnect_0_jtag_uart_avalon_jtag_slave_readdata : std_logic_vector(31 downto 0);
- signal mm_interconnect_0_jtag_uart_avalon_jtag_slave_waitrequest : std_logic;
- signal mm_interconnect_0_jtag_uart_avalon_jtag_slave_address : std_logic_vector(0 downto 0);
- signal mm_interconnect_0_jtag_uart_avalon_jtag_slave_read : std_logic;
- signal mm_interconnect_0_jtag_uart_avalon_jtag_slave_write : std_logic;
- signal mm_interconnect_0_jtag_uart_avalon_jtag_slave_writedata : std_logic_vector(31 downto 0);
- signal mm_interconnect_0_nios2_debug_mem_slave_readdata : std_logic_vector(31 downto 0);
- signal mm_interconnect_0_nios2_debug_mem_slave_waitrequest : std_logic;
- signal mm_interconnect_0_nios2_debug_mem_slave_debugaccess : std_logic;
- signal mm_interconnect_0_nios2_debug_mem_slave_address : std_logic_vector(8 downto 0);
- signal mm_interconnect_0_nios2_debug_mem_slave_read : std_logic;
- signal mm_interconnect_0_nios2_debug_mem_slave_byteenable : std_logic_vector(3 downto 0);
- signal mm_interconnect_0_nios2_debug_mem_slave_write : std_logic;
- signal mm_interconnect_0_nios2_debug_mem_slave_writedata : std_logic_vector(31 downto 0);
- signal mm_interconnect_0_onchip_memory2_s1_chipselect : std_logic;
- signal mm_interconnect_0_onchip_memory2_s1_readdata : std_logic_vector(31 downto 0);
- signal mm_interconnect_0_onchip_memory2_s1_address : std_logic_vector(15 downto 0);
- signal mm_interconnect_0_onchip_memory2_s1_byteenable : std_logic_vector(3 downto 0);
- signal mm_interconnect_0_onchip_memory2_s1_write : std_logic;
- signal mm_interconnect_0_onchip_memory2_s1_writedata : std_logic_vector(31 downto 0);
- signal mm_interconnect_0_onchip_memory2_s1_clken : std_logic;
- signal mm_interconnect_0_pio_led_s1_chipselect : std_logic;
- signal mm_interconnect_0_pio_led_s1_readdata : std_logic_vector(31 downto 0);
- signal mm_interconnect_0_pio_led_s1_address : std_logic_vector(1 downto 0);
- signal mm_interconnect_0_pio_led_s1_write : std_logic;
- signal mm_interconnect_0_pio_led_s1_writedata : std_logic_vector(31 downto 0);
- signal irq_mapper_receiver0_irq : std_logic;
- signal nios2_irq_irq : std_logic_vector(31 downto 0);
- signal rst_controller_reset_out_reset : std_logic;
- signal rst_controller_reset_out_reset_req : std_logic;
- signal nios2_debug_reset_request_reset : std_logic;
- signal reset_reset_n_ports_inv : std_logic;
- signal mm_interconnect_0_jtag_uart_avalon_jtag_slave_read_ports_inv : std_logic;
- signal mm_interconnect_0_jtag_uart_avalon_jtag_slave_write_ports_inv : std_logic;
- signal mm_interconnect_0_pio_led_s1_write_ports_inv : std_logic;
- signal rst_controller_reset_out_reset_ports_inv : std_logic;
- begin
- jtag_uart : component nios2_uc_jtag_uart
- port map (
- clk => clk_clk,
- rst_n => rst_controller_reset_out_reset_ports_inv,
- av_chipselect => mm_interconnect_0_jtag_uart_avalon_jtag_slave_chipselect,
- av_address => mm_interconnect_0_jtag_uart_avalon_jtag_slave_address(0),
- av_read_n => mm_interconnect_0_jtag_uart_avalon_jtag_slave_read_ports_inv,
- av_readdata => mm_interconnect_0_jtag_uart_avalon_jtag_slave_readdata,
- av_write_n => mm_interconnect_0_jtag_uart_avalon_jtag_slave_write_ports_inv,
- av_writedata => mm_interconnect_0_jtag_uart_avalon_jtag_slave_writedata,
- av_waitrequest => mm_interconnect_0_jtag_uart_avalon_jtag_slave_waitrequest,
- av_irq => irq_mapper_receiver0_irq
- );
- nios2 : component nios2_uc_nios2
- port map (
- clk => clk_clk,
- reset_n => rst_controller_reset_out_reset_ports_inv,
- reset_req => rst_controller_reset_out_reset_req,
- d_address => nios2_data_master_address,
- d_byteenable => nios2_data_master_byteenable,
- d_read => nios2_data_master_read,
- d_readdata => nios2_data_master_readdata,
- d_waitrequest => nios2_data_master_waitrequest,
- d_write => nios2_data_master_write,
- d_writedata => nios2_data_master_writedata,
- debug_mem_slave_debugaccess_to_roms => nios2_data_master_debugaccess,
- i_address => nios2_instruction_master_address,
- i_read => nios2_instruction_master_read,
- i_readdata => nios2_instruction_master_readdata,
- i_waitrequest => nios2_instruction_master_waitrequest,
- irq => nios2_irq_irq,
- debug_reset_request => nios2_debug_reset_request_reset,
- debug_mem_slave_address => mm_interconnect_0_nios2_debug_mem_slave_address,
- debug_mem_slave_byteenable => mm_interconnect_0_nios2_debug_mem_slave_byteenable,
- debug_mem_slave_debugaccess => mm_interconnect_0_nios2_debug_mem_slave_debugaccess,
- debug_mem_slave_read => mm_interconnect_0_nios2_debug_mem_slave_read,
- debug_mem_slave_readdata => mm_interconnect_0_nios2_debug_mem_slave_readdata,
- debug_mem_slave_waitrequest => mm_interconnect_0_nios2_debug_mem_slave_waitrequest,
- debug_mem_slave_write => mm_interconnect_0_nios2_debug_mem_slave_write,
- debug_mem_slave_writedata => mm_interconnect_0_nios2_debug_mem_slave_writedata,
- dummy_ci_port => open
- );
- onchip_memory2 : component nios2_uc_onchip_memory2
- port map (
- clk => clk_clk,
- address => mm_interconnect_0_onchip_memory2_s1_address,
- clken => mm_interconnect_0_onchip_memory2_s1_clken,
- chipselect => mm_interconnect_0_onchip_memory2_s1_chipselect,
- write => mm_interconnect_0_onchip_memory2_s1_write,
- readdata => mm_interconnect_0_onchip_memory2_s1_readdata,
- writedata => mm_interconnect_0_onchip_memory2_s1_writedata,
- byteenable => mm_interconnect_0_onchip_memory2_s1_byteenable,
- reset => rst_controller_reset_out_reset,
- reset_req => rst_controller_reset_out_reset_req,
- freeze => '0'
- );
- pio_led : component nios2_uc_pio_LED
- port map (
- clk => clk_clk,
- reset_n => rst_controller_reset_out_reset_ports_inv,
- address => mm_interconnect_0_pio_led_s1_address,
- write_n => mm_interconnect_0_pio_led_s1_write_ports_inv,
- writedata => mm_interconnect_0_pio_led_s1_writedata,
- chipselect => mm_interconnect_0_pio_led_s1_chipselect,
- readdata => mm_interconnect_0_pio_led_s1_readdata,
- out_port => pio_led_ext_conn_export
- );
- mm_interconnect_0 : component nios2_uc_mm_interconnect_0
- port map (
- clk_50_clk_clk => clk_clk,
- nios2_reset_reset_bridge_in_reset_reset => rst_controller_reset_out_reset,
- nios2_data_master_address => nios2_data_master_address,
- nios2_data_master_waitrequest => nios2_data_master_waitrequest,
- nios2_data_master_byteenable => nios2_data_master_byteenable,
- nios2_data_master_read => nios2_data_master_read,
- nios2_data_master_readdata => nios2_data_master_readdata,
- nios2_data_master_write => nios2_data_master_write,
- nios2_data_master_writedata => nios2_data_master_writedata,
- nios2_data_master_debugaccess => nios2_data_master_debugaccess,
- nios2_instruction_master_address => nios2_instruction_master_address,
- nios2_instruction_master_waitrequest => nios2_instruction_master_waitrequest,
- nios2_instruction_master_read => nios2_instruction_master_read,
- nios2_instruction_master_readdata => nios2_instruction_master_readdata,
- jtag_uart_avalon_jtag_slave_address => mm_interconnect_0_jtag_uart_avalon_jtag_slave_address,
- jtag_uart_avalon_jtag_slave_write => mm_interconnect_0_jtag_uart_avalon_jtag_slave_write,
- jtag_uart_avalon_jtag_slave_read => mm_interconnect_0_jtag_uart_avalon_jtag_slave_read,
- jtag_uart_avalon_jtag_slave_readdata => mm_interconnect_0_jtag_uart_avalon_jtag_slave_readdata,
- jtag_uart_avalon_jtag_slave_writedata => mm_interconnect_0_jtag_uart_avalon_jtag_slave_writedata,
- jtag_uart_avalon_jtag_slave_waitrequest => mm_interconnect_0_jtag_uart_avalon_jtag_slave_waitrequest,
- jtag_uart_avalon_jtag_slave_chipselect => mm_interconnect_0_jtag_uart_avalon_jtag_slave_chipselect,
- nios2_debug_mem_slave_address => mm_interconnect_0_nios2_debug_mem_slave_address,
- nios2_debug_mem_slave_write => mm_interconnect_0_nios2_debug_mem_slave_write,
- nios2_debug_mem_slave_read => mm_interconnect_0_nios2_debug_mem_slave_read,
- nios2_debug_mem_slave_readdata => mm_interconnect_0_nios2_debug_mem_slave_readdata,
- nios2_debug_mem_slave_writedata => mm_interconnect_0_nios2_debug_mem_slave_writedata,
- nios2_debug_mem_slave_byteenable => mm_interconnect_0_nios2_debug_mem_slave_byteenable,
- nios2_debug_mem_slave_waitrequest => mm_interconnect_0_nios2_debug_mem_slave_waitrequest,
- nios2_debug_mem_slave_debugaccess => mm_interconnect_0_nios2_debug_mem_slave_debugaccess,
- onchip_memory2_s1_address => mm_interconnect_0_onchip_memory2_s1_address,
- onchip_memory2_s1_write => mm_interconnect_0_onchip_memory2_s1_write,
- onchip_memory2_s1_readdata => mm_interconnect_0_onchip_memory2_s1_readdata,
- onchip_memory2_s1_writedata => mm_interconnect_0_onchip_memory2_s1_writedata,
- onchip_memory2_s1_byteenable => mm_interconnect_0_onchip_memory2_s1_byteenable,
- onchip_memory2_s1_chipselect => mm_interconnect_0_onchip_memory2_s1_chipselect,
- onchip_memory2_s1_clken => mm_interconnect_0_onchip_memory2_s1_clken,
- pio_LED_s1_address => mm_interconnect_0_pio_led_s1_address,
- pio_LED_s1_write => mm_interconnect_0_pio_led_s1_write,
- pio_LED_s1_readdata => mm_interconnect_0_pio_led_s1_readdata,
- pio_LED_s1_writedata => mm_interconnect_0_pio_led_s1_writedata,
- pio_LED_s1_chipselect => mm_interconnect_0_pio_led_s1_chipselect
- );
- irq_mapper : component nios2_uc_irq_mapper
- port map (
- clk => clk_clk,
- reset => rst_controller_reset_out_reset,
- receiver0_irq => irq_mapper_receiver0_irq,
- sender_irq => nios2_irq_irq
- );
- rst_controller : component altera_reset_controller
- generic map (
- NUM_RESET_INPUTS => 2,
- OUTPUT_RESET_SYNC_EDGES => "deassert",
- SYNC_DEPTH => 2,
- RESET_REQUEST_PRESENT => 1,
- RESET_REQ_WAIT_TIME => 1,
- MIN_RST_ASSERTION_TIME => 3,
- RESET_REQ_EARLY_DSRT_TIME => 1,
- USE_RESET_REQUEST_IN0 => 0,
- USE_RESET_REQUEST_IN1 => 0,
- USE_RESET_REQUEST_IN2 => 0,
- USE_RESET_REQUEST_IN3 => 0,
- USE_RESET_REQUEST_IN4 => 0,
- USE_RESET_REQUEST_IN5 => 0,
- USE_RESET_REQUEST_IN6 => 0,
- USE_RESET_REQUEST_IN7 => 0,
- USE_RESET_REQUEST_IN8 => 0,
- USE_RESET_REQUEST_IN9 => 0,
- USE_RESET_REQUEST_IN10 => 0,
- USE_RESET_REQUEST_IN11 => 0,
- USE_RESET_REQUEST_IN12 => 0,
- USE_RESET_REQUEST_IN13 => 0,
- USE_RESET_REQUEST_IN14 => 0,
- USE_RESET_REQUEST_IN15 => 0,
- ADAPT_RESET_REQUEST => 0
- )
- port map (
- reset_in0 => reset_reset_n_ports_inv,
- reset_in1 => nios2_debug_reset_request_reset,
- clk => clk_clk,
- reset_out => rst_controller_reset_out_reset,
- reset_req => rst_controller_reset_out_reset_req,
- reset_req_in0 => '0',
- reset_req_in1 => '0',
- reset_in2 => '0',
- reset_req_in2 => '0',
- reset_in3 => '0',
- reset_req_in3 => '0',
- reset_in4 => '0',
- reset_req_in4 => '0',
- reset_in5 => '0',
- reset_req_in5 => '0',
- reset_in6 => '0',
- reset_req_in6 => '0',
- reset_in7 => '0',
- reset_req_in7 => '0',
- reset_in8 => '0',
- reset_req_in8 => '0',
- reset_in9 => '0',
- reset_req_in9 => '0',
- reset_in10 => '0',
- reset_req_in10 => '0',
- reset_in11 => '0',
- reset_req_in11 => '0',
- reset_in12 => '0',
- reset_req_in12 => '0',
- reset_in13 => '0',
- reset_req_in13 => '0',
- reset_in14 => '0',
- reset_req_in14 => '0',
- reset_in15 => '0',
- reset_req_in15 => '0'
- );
- reset_reset_n_ports_inv <= not reset_reset_n;
- mm_interconnect_0_jtag_uart_avalon_jtag_slave_read_ports_inv <= not mm_interconnect_0_jtag_uart_avalon_jtag_slave_read;
- mm_interconnect_0_jtag_uart_avalon_jtag_slave_write_ports_inv <= not mm_interconnect_0_jtag_uart_avalon_jtag_slave_write;
- mm_interconnect_0_pio_led_s1_write_ports_inv <= not mm_interconnect_0_pio_led_s1_write;
- rst_controller_reset_out_reset_ports_inv <= not rst_controller_reset_out_reset;
- end architecture rtl;
|