Browse Source

added vhdl definitions

subDesTagesMitExtraKaese 4 năm trước cách đây
mục cha
commit
617ce38cce
100 tập tin đã thay đổi với 16326 bổ sung5588 xóa
  1. 1 0
      .gitignore
  2. 78 78
      .qsys_edit/nios2_uc.xml
  3. 26 6
      .qsys_edit/nios2_uc_schematic.nlv
  4. 3 4
      .qsys_edit/preferences.xml
  5. 39 2
      myfirst_niosii.qsf
  6. 110 43
      myfirst_niosii.vhd
  7. 218 11
      nios2_uc.qsys
  8. 803 241
      nios2_uc.sopcinfo
  9. 82 26
      nios2_uc/nios2_uc.bsf
  10. 28 2
      nios2_uc/nios2_uc.xml
  11. 15 3
      nios2_uc/nios2_uc_bb.v
  12. 9 3
      nios2_uc/nios2_uc_inst.v
  13. 803 241
      nios2_uc/synthesis/nios2_uc.debuginfo
  14. 178 77
      nios2_uc/synthesis/nios2_uc.qip
  15. 236 0
      nios2_uc/synthesis/nios2_uc.regmap
  16. 609 57
      nios2_uc/synthesis/nios2_uc.vhd
  17. 148 0
      nios2_uc/synthesis/submodules/altera_customins_master_translator.v
  18. 148 0
      nios2_uc/synthesis/submodules/altera_customins_slave_translator.sv
  19. 1 1
      nios2_uc/synthesis/submodules/altera_merlin_arbitrator.sv
  20. 3 3
      nios2_uc/synthesis/submodules/altera_merlin_burst_uncompressor.sv
  21. 3 3
      nios2_uc/synthesis/submodules/altera_merlin_master_agent.sv
  22. 3 3
      nios2_uc/synthesis/submodules/altera_merlin_master_translator.sv
  23. 3 3
      nios2_uc/synthesis/submodules/altera_merlin_slave_agent.sv
  24. 3 3
      nios2_uc/synthesis/submodules/altera_merlin_slave_translator.sv
  25. 1 1
      nios2_uc/synthesis/submodules/altera_reset_controller.sdc
  26. 3 3
      nios2_uc/synthesis/submodules/altera_reset_controller.v
  27. 3 3
      nios2_uc/synthesis/submodules/altera_reset_synchronizer.v
  28. 7469 0
      nios2_uc/synthesis/submodules/fpoint_hw_qsys.v
  29. 3587 0
      nios2_uc/synthesis/submodules/fpoint_qsys.v
  30. 80 0
      nios2_uc/synthesis/submodules/fpoint_wrapper.v
  31. 3 3
      nios2_uc/synthesis/submodules/nios2_uc_irq_mapper.sv
  32. 67 0
      nios2_uc/synthesis/submodules/nios2_uc_lcd_16207.v
  33. 570 158
      nios2_uc/synthesis/submodules/nios2_uc_mm_interconnect_0.v
  34. 1 1
      nios2_uc/synthesis/submodules/nios2_uc_mm_interconnect_0_avalon_st_adapter.v
  35. 1 1
      nios2_uc/synthesis/submodules/nios2_uc_mm_interconnect_0_avalon_st_adapter_error_adapter_0.sv
  36. 62 17
      nios2_uc/synthesis/submodules/nios2_uc_mm_interconnect_0_cmd_demux.sv
  37. 14 14
      nios2_uc/synthesis/submodules/nios2_uc_mm_interconnect_0_cmd_mux.sv
  38. 63 37
      nios2_uc/synthesis/submodules/nios2_uc_mm_interconnect_0_router.sv
  39. 23 23
      nios2_uc/synthesis/submodules/nios2_uc_mm_interconnect_0_router_002.sv
  40. 12 12
      nios2_uc/synthesis/submodules/nios2_uc_mm_interconnect_0_rsp_demux.sv
  41. 83 23
      nios2_uc/synthesis/submodules/nios2_uc_mm_interconnect_0_rsp_mux.sv
  42. 37 3
      nios2_uc/synthesis/submodules/nios2_uc_nios2.v
  43. 295 203
      nios2_uc/synthesis/submodules/nios2_uc_nios2_cpu.v
  44. 3 1
      nios2_uc/synthesis/submodules/nios2_uc_nios2_cpu_test_bench.v
  45. 118 0
      nios2_uc/synthesis/submodules/nios2_uc_nios2_custom_instruction_master_multi_xconnect.sv
  46. 59 0
      nios2_uc/synthesis/submodules/nios2_uc_pio_BUTTON.v
  47. 70 0
      nios2_uc/synthesis/submodules/nios2_uc_pio_COL_ADDR.v
  48. 67 0
      nios2_uc/synthesis/submodules/nios2_uc_pio_MATRIX.v
  49. 67 0
      nios2_uc/synthesis/submodules/nios2_uc_pio_ROW.v
  50. 15 0
      output_file.map
  51. 2 2
      output_files/myfirst_niosii.cdf
  52. 1 1
      output_files/myfirst_niosii.sld
  53. BIN
      software/.metadata/.mylyn/repositories.xml.zip
  54. BIN
      software/.metadata/.plugins/org.eclipse.cdt.core/hello_world.1606398843993.pdom
  55. 0 2505
      software/.metadata/.plugins/org.eclipse.cdt.core/hello_world.language.settings.xml
  56. BIN
      software/.metadata/.plugins/org.eclipse.cdt.core/hello_world_bsp.1606398842096.pdom
  57. 0 1381
      software/.metadata/.plugins/org.eclipse.cdt.core/hello_world_bsp.language.settings.xml
  58. 0 1
      software/.metadata/.plugins/org.eclipse.cdt.make.core/specs.c
  59. 0 1
      software/.metadata/.plugins/org.eclipse.cdt.make.core/specs.cpp
  60. 0 34
      software/.metadata/.plugins/org.eclipse.core.resources/.history/32/202feef1ef2f001b1545ef0b5631d2e4
  61. 0 33
      software/.metadata/.plugins/org.eclipse.core.resources/.history/50/403241c7ef2f001b1545ef0b5631d2e4
  62. 0 35
      software/.metadata/.plugins/org.eclipse.core.resources/.history/8f/502e43e9f12f001b1545ef0b5631d2e4
  63. 0 35
      software/.metadata/.plugins/org.eclipse.core.resources/.history/a5/c0d6e426f02f001b1545ef0b5631d2e4
  64. 0 34
      software/.metadata/.plugins/org.eclipse.core.resources/.history/b7/608b34f6ef2f001b1545ef0b5631d2e4
  65. 0 35
      software/.metadata/.plugins/org.eclipse.core.resources/.history/d2/901d0554f02f001b1545ef0b5631d2e4
  66. 0 34
      software/.metadata/.plugins/org.eclipse.core.resources/.history/d4/80e2f03df22f001b1545ef0b5631d2e4
  67. 0 34
      software/.metadata/.plugins/org.eclipse.core.resources/.history/e0/b060dd18f02f001b1545ef0b5631d2e4
  68. 0 24
      software/.metadata/.plugins/org.eclipse.core.resources/.history/f5/30a9ceb9ef2f001b1545ef0b5631d2e4
  69. BIN
      software/.metadata/.plugins/org.eclipse.core.resources/.projects/RemoteSystemsTempFiles/.markers.snap
  70. BIN
      software/.metadata/.plugins/org.eclipse.core.resources/.projects/RemoteSystemsTempFiles/.syncinfo.snap
  71. BIN
      software/.metadata/.plugins/org.eclipse.core.resources/.projects/hello_world/.indexes/properties.index
  72. BIN
      software/.metadata/.plugins/org.eclipse.core.resources/.projects/hello_world/.markers.snap
  73. BIN
      software/.metadata/.plugins/org.eclipse.core.resources/.projects/hello_world/.syncinfo.snap
  74. BIN
      software/.metadata/.plugins/org.eclipse.core.resources/.projects/hello_world_bsp/.indexes/4b/de/properties.index
  75. BIN
      software/.metadata/.plugins/org.eclipse.core.resources/.projects/hello_world_bsp/.indexes/73/de/properties.index
  76. BIN
      software/.metadata/.plugins/org.eclipse.core.resources/.projects/hello_world_bsp/.indexes/properties.index
  77. BIN
      software/.metadata/.plugins/org.eclipse.core.resources/.projects/hello_world_bsp/.markers.snap
  78. BIN
      software/.metadata/.plugins/org.eclipse.core.resources/.projects/hello_world_bsp/.syncinfo.snap
  79. BIN
      software/.metadata/.plugins/org.eclipse.core.resources/.root/.indexes/properties.index
  80. BIN
      software/.metadata/.plugins/org.eclipse.core.resources/.root/.markers.snap
  81. BIN
      software/.metadata/.plugins/org.eclipse.core.resources/.safetable/org.eclipse.core.resources
  82. BIN
      software/.metadata/.plugins/org.eclipse.core.resources/0.snap
  83. 0 4
      software/.metadata/.plugins/org.eclipse.core.runtime/.settings/com.altera.sbtgui.ui.prefs
  84. 0 2
      software/.metadata/.plugins/org.eclipse.core.runtime/.settings/org.eclipse.cdt.core.prj-hello_world.prefs
  85. 0 2
      software/.metadata/.plugins/org.eclipse.core.runtime/.settings/org.eclipse.cdt.core.prj-hello_world_bsp.prefs
  86. 0 2
      software/.metadata/.plugins/org.eclipse.core.runtime/.settings/org.eclipse.cdt.debug.core.prefs
  87. 0 3
      software/.metadata/.plugins/org.eclipse.core.runtime/.settings/org.eclipse.cdt.managedbuilder.core.prefs
  88. 0 2
      software/.metadata/.plugins/org.eclipse.core.runtime/.settings/org.eclipse.core.resources.prefs
  89. 0 5
      software/.metadata/.plugins/org.eclipse.core.runtime/.settings/org.eclipse.debug.core.prefs
  90. 0 3
      software/.metadata/.plugins/org.eclipse.core.runtime/.settings/org.eclipse.debug.ui.prefs
  91. 0 4
      software/.metadata/.plugins/org.eclipse.core.runtime/.settings/org.eclipse.epp.logging.aeri.ide.prefs
  92. 0 2
      software/.metadata/.plugins/org.eclipse.core.runtime/.settings/org.eclipse.mylyn.context.core.prefs
  93. 0 2
      software/.metadata/.plugins/org.eclipse.core.runtime/.settings/org.eclipse.mylyn.monitor.ui.prefs
  94. 0 3
      software/.metadata/.plugins/org.eclipse.core.runtime/.settings/org.eclipse.mylyn.tasks.ui.prefs
  95. 0 2
      software/.metadata/.plugins/org.eclipse.core.runtime/.settings/org.eclipse.rse.core.prefs
  96. 0 2
      software/.metadata/.plugins/org.eclipse.core.runtime/.settings/org.eclipse.rse.ui.prefs
  97. 0 2
      software/.metadata/.plugins/org.eclipse.core.runtime/.settings/org.eclipse.ui.browser.prefs
  98. 0 17
      software/.metadata/.plugins/org.eclipse.debug.core/.launches/hello_world Nios II Hardware configuration.launch
  99. 0 27
      software/.metadata/.plugins/org.eclipse.debug.ui/launchConfigurationHistory.xml
  100. 0 2
      software/.metadata/.plugins/org.eclipse.e4.workbench/workbench.xmi

+ 1 - 0
.gitignore

@@ -68,4 +68,5 @@ testbench/
 
 # ignore eclipse temp files
 obj/
+.metadata/
 *.log

+ 78 - 78
.qsys_edit/nios2_uc.xml

@@ -802,7 +802,7 @@
 								<delegate id="delegate_CommonDockStationFactory">
 									<root>true</root>
 									<content delegate="flap dock">
-										<window auto="true" direction="EAST"/>
+										<window auto="true" direction="WEST"/>
 										<placeholders>
 											<version>0</version>
 											<format>dock.PlaceholderList</format>
@@ -827,7 +827,7 @@
 										<fullscreen-action>false</fullscreen-action>
 										<node nodeId="1372710005721" orientation="HORIZONTAL" divider="0.22181146025878004">
 											<node nodeId="1375985011088" orientation="VERTICAL" divider="0.504054054054054">
-												<leaf id="3" nodeId="1375985003630">
+												<leaf id="2" nodeId="1375985003630">
 													<placeholders>
 														<placeholder>dock.single.Clock\ Domains\ \-\ Beta</placeholder>
 														<placeholder>dock.single.IP\ Catalog</placeholder>
@@ -853,7 +853,7 @@
 														</entry>
 													</placeholder-map>
 												</leaf>
-												<leaf id="1" nodeId="1375985011087">
+												<leaf id="4" nodeId="1375985011087">
 													<placeholders>
 														<placeholder>dock.single.Hierarchy</placeholder>
 													</placeholders>
@@ -880,7 +880,7 @@
 											<node nodeId="1372710005725" orientation="VERTICAL" divider="0.75">
 												<node nodeId="1372710005727" orientation="HORIZONTAL" divider="0.6183193900785428">
 													<node nodeId="1372710005733" orientation="VERTICAL" divider="0.75">
-														<leaf id="2" nodeId="1372710005735">
+														<leaf id="3" nodeId="1372710005735">
 															<placeholders>
 																<placeholder>dock.single.Connections</placeholder>
 																<placeholder>dock.single.System\ Contents</placeholder>
@@ -932,7 +932,7 @@
 														</leaf>
 													</node>
 													<node nodeId="1389812802503" orientation="VERTICAL" divider="0.6704331450094162">
-														<leaf id="4" nodeId="1389812800464">
+														<leaf id="1" nodeId="1389812800464">
 															<placeholders>
 																<placeholder>dock.single.Details</placeholder>
 																<placeholder>dock.single.Parameters</placeholder>
@@ -1013,63 +1013,24 @@
 									<children ignore="false"/>
 								</child>
 								<child>
-									<layout factory="delegate_StackDockStationFactory">
-										<selected>0</selected>
-										<placeholders>
-											<version>0</version>
-											<format>dock.PlaceholderList</format>
-											<entry>
-												<key shared="false">
-													<placeholder>dock.single.Hierarchy</placeholder>
-												</key>
-												<item key="convert" type="b">true</item>
-												<item key="convert-keys" type="a">
-													<item type="s">index</item>
-													<item type="s">id</item>
-													<item type="s">placeholder</item>
-												</item>
-												<item key="dock.index" type="i">0</item>
-												<item key="dock.id" type="i">0</item>
-												<item key="dock.placeholder" type="s">dock.single.Hierarchy</item>
-											</entry>
-											<entry>
-												<key shared="false">
-													<placeholder>dock.single.Device\ Family</placeholder>
-												</key>
-												<item key="convert" type="b">true</item>
-												<item key="convert-keys" type="a">
-													<item type="s">index</item>
-													<item type="s">id</item>
-													<item type="s">placeholder</item>
-												</item>
-												<item key="dock.index" type="i">1</item>
-												<item key="dock.id" type="i">1</item>
-												<item key="dock.placeholder" type="s">dock.single.Device\ Family</item>
-											</entry>
-										</placeholders>
+									<layout factory="predefined" placeholder="dock.single.Parameters">
+										<replacement id="dockablesingle Parameters"/>
+										<delegate id="delegate_ccontrol backup factory id">
+											<id>Parameters</id>
+											<area/>
+										</delegate>
 									</layout>
-									<children ignore="false">
-										<child>
-											<layout factory="predefined" placeholder="dock.single.Hierarchy">
-												<replacement id="dockablesingle Hierarchy"/>
-												<delegate id="delegate_ccontrol backup factory id">
-													<id>Hierarchy</id>
-													<area/>
-												</delegate>
-											</layout>
-											<children ignore="false"/>
-										</child>
-										<child>
-											<layout factory="predefined" placeholder="dock.single.Device\ Family">
-												<replacement id="dockablesingle Device Family"/>
-												<delegate id="delegate_ccontrol backup factory id">
-													<id>Device Family</id>
-													<area/>
-												</delegate>
-											</layout>
-											<children ignore="false"/>
-										</child>
-									</children>
+									<children ignore="false"/>
+								</child>
+								<child>
+									<layout factory="predefined" placeholder="dock.single.IP\ Catalog">
+										<replacement id="dockablesingle IP Catalog"/>
+										<delegate id="delegate_ccontrol backup factory id">
+											<id>IP Catalog</id>
+											<area/>
+										</delegate>
+									</layout>
+									<children ignore="false"/>
 								</child>
 								<child>
 									<layout factory="delegate_StackDockStationFactory">
@@ -1180,24 +1141,63 @@
 									</children>
 								</child>
 								<child>
-									<layout factory="predefined" placeholder="dock.single.IP\ Catalog">
-										<replacement id="dockablesingle IP Catalog"/>
-										<delegate id="delegate_ccontrol backup factory id">
-											<id>IP Catalog</id>
-											<area/>
-										</delegate>
-									</layout>
-									<children ignore="false"/>
-								</child>
-								<child>
-									<layout factory="predefined" placeholder="dock.single.Parameters">
-										<replacement id="dockablesingle Parameters"/>
-										<delegate id="delegate_ccontrol backup factory id">
-											<id>Parameters</id>
-											<area/>
-										</delegate>
+									<layout factory="delegate_StackDockStationFactory">
+										<selected>0</selected>
+										<placeholders>
+											<version>0</version>
+											<format>dock.PlaceholderList</format>
+											<entry>
+												<key shared="false">
+													<placeholder>dock.single.Hierarchy</placeholder>
+												</key>
+												<item key="convert" type="b">true</item>
+												<item key="convert-keys" type="a">
+													<item type="s">index</item>
+													<item type="s">id</item>
+													<item type="s">placeholder</item>
+												</item>
+												<item key="dock.index" type="i">0</item>
+												<item key="dock.id" type="i">0</item>
+												<item key="dock.placeholder" type="s">dock.single.Hierarchy</item>
+											</entry>
+											<entry>
+												<key shared="false">
+													<placeholder>dock.single.Device\ Family</placeholder>
+												</key>
+												<item key="convert" type="b">true</item>
+												<item key="convert-keys" type="a">
+													<item type="s">index</item>
+													<item type="s">id</item>
+													<item type="s">placeholder</item>
+												</item>
+												<item key="dock.index" type="i">1</item>
+												<item key="dock.id" type="i">1</item>
+												<item key="dock.placeholder" type="s">dock.single.Device\ Family</item>
+											</entry>
+										</placeholders>
 									</layout>
-									<children ignore="false"/>
+									<children ignore="false">
+										<child>
+											<layout factory="predefined" placeholder="dock.single.Hierarchy">
+												<replacement id="dockablesingle Hierarchy"/>
+												<delegate id="delegate_ccontrol backup factory id">
+													<id>Hierarchy</id>
+													<area/>
+												</delegate>
+											</layout>
+											<children ignore="false"/>
+										</child>
+										<child>
+											<layout factory="predefined" placeholder="dock.single.Device\ Family">
+												<replacement id="dockablesingle Device Family"/>
+												<delegate id="delegate_ccontrol backup factory id">
+													<id>Device Family</id>
+													<area/>
+												</delegate>
+											</layout>
+											<children ignore="false"/>
+										</child>
+									</children>
 								</child>
 							</children>
 						</root>

+ 26 - 6
.qsys_edit/nios2_uc_schematic.nlv

@@ -1,8 +1,28 @@
 # # File gsaved with Nlview version 6.3.8  2013-12-19 bk=1.2992 VDI=34 GEI=35
 # 
-preplace inst unsaved.clk_0 -pg 1 -lvl 1 -y 30
-preplace inst unsaved -pg 1 -lvl 1 -y 40 -regy -20
-preplace netloc EXPORT<net_container>unsaved</net_container>(SLAVE)unsaved.reset,(SLAVE)clk_0.clk_in_reset) 1 0 1 NJ
-preplace netloc EXPORT<net_container>unsaved</net_container>(SLAVE)clk_0.clk_in,(SLAVE)unsaved.clk) 1 0 1 NJ
-levelinfo -pg 1 0 50 270
-levelinfo -hier unsaved 60 90 260
+preplace inst nios2_uc.pio_BUTTON -pg 1 -lvl 3 -y 360
+preplace inst nios2_uc.nios2.clock_bridge -pg 1
+preplace inst nios2_uc -pg 1 -lvl 1 -y 40 -regy -20
+preplace inst nios2_uc.nios_custom_instr_floating_point_0 -pg 1 -lvl 3 -y 30
+preplace inst nios2_uc.lcd_16207 -pg 1 -lvl 3 -y 680
+preplace inst nios2_uc.nios2.reset_bridge -pg 1
+preplace inst nios2_uc.clk_50 -pg 1 -lvl 1 -y 300
+preplace inst nios2_uc.nios2 -pg 1 -lvl 2 -y 90
+preplace inst nios2_uc.pio_MATRIX -pg 1 -lvl 3 -y 480
+preplace inst nios2_uc.pio_LED -pg 1 -lvl 3 -y 260
+preplace inst nios2_uc.onchip_memory2 -pg 1 -lvl 3 -y 70
+preplace inst nios2_uc.nios2.cpu -pg 1
+preplace inst nios2_uc.jtag_uart -pg 1 -lvl 3 -y 150
+preplace netloc FAN_OUT<net_container>nios2_uc</net_container>(MASTER)nios2.irq,(SLAVE)jtag_uart.irq,(SLAVE)pio_BUTTON.irq) 1 2 1 760
+preplace netloc FAN_OUT<net_container>nios2_uc</net_container>(SLAVE)pio_MATRIX.clk,(SLAVE)onchip_memory2.clk1,(SLAVE)jtag_uart.clk,(SLAVE)lcd_16207.clk,(SLAVE)pio_BUTTON.clk,(SLAVE)pio_LED.clk,(MASTER)clk_50.clk,(SLAVE)nios2.clk) 1 1 2 340 530 780
+preplace netloc INTERCONNECT<net_container>nios2_uc</net_container>(MASTER)nios2.instruction_master,(SLAVE)jtag_uart.avalon_jtag_slave,(MASTER)nios2.data_master,(SLAVE)onchip_memory2.s1,(SLAVE)pio_MATRIX.s1,(SLAVE)lcd_16207.control_slave,(SLAVE)nios2.debug_mem_slave,(SLAVE)pio_LED.s1,(SLAVE)pio_BUTTON.s1) 1 1 2 380 50 740
+preplace netloc EXPORT<net_container>nios2_uc</net_container>(SLAVE)nios2_uc.pio_button_ext_conn,(SLAVE)pio_BUTTON.external_connection) 1 0 3 NJ 390 NJ 390 NJ
+preplace netloc EXPORT<net_container>nios2_uc</net_container>(SLAVE)lcd_16207.external,(SLAVE)nios2_uc.lcd_16207_ext) 1 0 3 NJ 730 NJ 730 NJ
+preplace netloc EXPORT<net_container>nios2_uc</net_container>(SLAVE)nios2_uc.clk,(SLAVE)clk_50.clk_in) 1 0 1 NJ
+preplace netloc EXPORT<net_container>nios2_uc</net_container>(SLAVE)nios2_uc.pio_matrix_ext_conn,(SLAVE)pio_MATRIX.external_connection) 1 0 3 NJ 510 NJ 510 NJ
+preplace netloc EXPORT<net_container>nios2_uc</net_container>(SLAVE)pio_LED.external_connection,(SLAVE)nios2_uc.pio_led_ext_conn) 1 0 3 NJ 370 NJ 290 NJ
+preplace netloc EXPORT<net_container>nios2_uc</net_container>(SLAVE)nios2_uc.reset,(SLAVE)clk_50.clk_in_reset) 1 0 1 NJ
+preplace netloc INTERCONNECT<net_container>nios2_uc</net_container>(SLAVE)onchip_memory2.reset1,(SLAVE)pio_LED.reset,(SLAVE)nios2.reset,(SLAVE)pio_MATRIX.reset,(SLAVE)pio_BUTTON.reset,(SLAVE)jtag_uart.reset,(SLAVE)lcd_16207.reset,(MASTER)clk_50.clk_reset,(MASTER)nios2.debug_reset_request) 1 1 2 360 750 800
+preplace netloc POINT_TO_POINT<net_container>nios2_uc</net_container>(MASTER)nios2.custom_instruction_master,(SLAVE)nios_custom_instr_floating_point_0.s1) 1 2 1 760
+levelinfo -pg 1 0 130 1050
+levelinfo -hier nios2_uc 140 170 500 870 1020

+ 3 - 4
.qsys_edit/preferences.xml

@@ -3,13 +3,12 @@
  <debug showDebugMenu="0" />
  <systemtable filter="All Interfaces">
   <columns>
-   <connections preferredWidth="143" />
+   <connections preferredWidth="47" />
    <irq preferredWidth="34" />
   </columns>
  </systemtable>
- <library
-   expandedCategories="Library/Processors and Peripherals,Library/Processors and Peripherals/Peripherals,Library,Library/Interface Protocols,Library/Interface Protocols/PCI Express/QSYS Example Designs,Project,Library/Interface Protocols/PCI Express" />
- <window width="1440" height="860" x="0" y="-1" />
+ <library expandedCategories="Library,Project" />
+ <window width="1280" height="1024" x="1280" y="379" />
  <hdlexample language="VHDL" />
  <generation synthesis="VHDL" />
 </preferences>

+ 39 - 2
myfirst_niosii.qsf

@@ -104,6 +104,43 @@ set_location_assignment PIN_F15 -to pio_led[22]
 set_location_assignment PIN_G15 -to pio_led[23]
 set_location_assignment PIN_G16 -to pio_led[24]
 set_location_assignment PIN_H15 -to pio_led[25]
-set_location_assignment PIN_M23 -to toggle_button
-set_location_assignment PIN_F17 -to toggle_led
+set_location_assignment PIN_M5 -to lcd_16207_ext_data[7]
+set_location_assignment PIN_M3 -to lcd_16207_ext_data[6]
+set_location_assignment PIN_K2 -to lcd_16207_ext_data[5]
+set_location_assignment PIN_K1 -to lcd_16207_ext_data[4]
+set_location_assignment PIN_K7 -to lcd_16207_ext_data[3]
+set_location_assignment PIN_L2 -to lcd_16207_ext_data[2]
+set_location_assignment PIN_L1 -to lcd_16207_ext_data[1]
+set_location_assignment PIN_L3 -to lcd_16207_ext_data[0]
+set_location_assignment PIN_L4 -to lcd_16207_ext_E
+set_location_assignment PIN_M2 -to lcd_16207_ext_RS
+set_location_assignment PIN_M1 -to lcd_16207_ext_RW
+set_location_assignment PIN_M23 -to buttons[0]
+set_location_assignment PIN_M21 -to buttons[1]
+set_location_assignment PIN_N21 -to buttons[2]
+set_location_assignment PIN_R24 -to buttons[3]
+set_location_assignment PIN_AF26 -to buttons[4]
+set_location_assignment PIN_AG23 -to buttons[5]
+set_location_assignment PIN_AH26 -to buttons[6]
+set_location_assignment PIN_AG26 -to buttons[7]
+set_location_assignment PIN_AC21 -to matrix_cols[0]
+set_location_assignment PIN_AD21 -to matrix_cols[1]
+set_location_assignment PIN_AD15 -to matrix_cols[2]
+set_location_assignment PIN_AC19 -to matrix_cols[3]
+set_location_assignment PIN_AD19 -to matrix_cols[4]
+set_location_assignment PIN_AF24 -to matrix_cols[5]
+set_location_assignment PIN_AF25 -to matrix_cols[6]
+set_location_assignment PIN_AE22 -to matrix_cols[7]
+set_location_assignment PIN_AC15 -to matrix_rows[0]
+set_location_assignment PIN_Y17 -to matrix_rows[1]
+set_location_assignment PIN_Y16 -to matrix_rows[2]
+set_location_assignment PIN_AE16 -to matrix_rows[3]
+set_location_assignment PIN_AE15 -to matrix_rows[4]
+set_location_assignment PIN_AF16 -to matrix_rows[5]
+set_location_assignment PIN_AF15 -to matrix_rows[6]
+set_location_assignment PIN_AE21 -to matrix_rows[7]
+set_location_assignment PIN_AC22 -to matrix_rows[8]
+set_location_assignment PIN_AF21 -to matrix_rows[9]
+set_location_assignment PIN_AD22 -to matrix_rows[10]
+set_location_assignment PIN_AD25 -to matrix_rows[11]
 set_instance_assignment -name PARTITION_HIERARCHY root_partition -to | -section_id Top

+ 110 - 43
myfirst_niosii.vhd

@@ -1,6 +1,6 @@
 library IEEE;
 use IEEE.STD_LOGIC_1164.ALL;
-use IEEE.std_logic_arith.ALL;
+use IEEE.numeric_std.ALL;
 use IEEE.STD_LOGIC_UNSIGNED.ALL;
 
 
@@ -8,56 +8,123 @@ entity myfirst_niosii is port (
 		clk: in std_logic;
 		rst: in std_logic;
 		pio_led: out std_logic_vector(31 downto 0);
-		toggle_button: in std_logic;
-		toggle_led: out std_logic
-	);
+		buttons: in std_logic_vector(7 downto 0);
+		matrix_rows: out std_logic_vector(11 downto 0);
+		matrix_cols: out std_logic_vector(7 downto 0);
+	   lcd_16207_ext_RS             : out   std_logic;                                        -- RS
+	   lcd_16207_ext_RW             : out   std_logic;                                        -- RW
+	   lcd_16207_ext_data           : inout std_logic_vector(7 downto 0)  := (others => 'X'); -- data
+	   lcd_16207_ext_E              : out   std_logic 
+);
+type matrix_t is array(integer range 0 to 7) of std_logic_vector(11 downto 0);
 end myfirst_niosii;
 
 architecture behav of myfirst_niosii is
-    component nios2_uc is
-        port (
-            clk_clk                 : in  std_logic                     := 'X'; -- clk
-            pio_led_ext_conn_export : out std_logic_vector(31 downto 0);        -- export
-            reset_reset_n           : in  std_logic                     := 'X'  -- reset_n
-        );
-    end component nios2_uc;
-	 
-	 signal toggle_led_s: std_logic := '0';
-	 signal state: std_logic := '0';
-	 signal counter: integer range 0 to 2**15-1 := 0;
+	component nios2_uc is port (
+		clk_clk                 	  : in    std_logic                     := 'X';  				-- clk
+		reset_reset_n           	  : in    std_logic                     := 'X';  				-- reset_n
+		lcd_16207_ext_RS             : out   std_logic;                                        -- RS
+		lcd_16207_ext_RW             : out   std_logic;                                        -- RW
+		lcd_16207_ext_data           : inout std_logic_vector(7 downto 0)  := (others => 'X'); -- data
+		lcd_16207_ext_E              : out   std_logic;                                        -- E
+		pio_led_ext_conn_export 	  : out   std_logic_vector(31 downto 0);         				-- export
+		pio_button_ext_conn_export   : in    std_logic_vector(7 downto 0)  := (others => 'X'); -- export
+		pio_matrix_ext_conn_export   : out   std_logic_vector(19 downto 0)                     -- export
+  
+	);
+	end component nios2_uc;
+
+	signal button_states: std_logic_vector(7 downto 0);
+	signal button_timer: integer range 0 to 2**20-1 := 0;
+
+	signal matrix_timer: integer range 0 to 2**15-1 := 0;
+	signal matrix_col_index: integer range 0 to 8 := 0;
+	signal matrix_s: matrix_t;
+	signal pio_matrix_s: std_logic_vector(19 downto 0);
 
 begin
 	 
-	     u0 : component nios2_uc
-        port map (
-            clk_clk                 => clk,                 --              clk.clk
-            pio_led_ext_conn_export => pio_led, -- pio_led_ext_conn.export
-            reset_reset_n           => rst            --            reset.reset_n
-        );
-		  
-		  
-		  toggle: process(clk, rst)
+	u0: component nios2_uc
+	port map (
+		clk_clk                 => clk, 
+		pio_led_ext_conn_export => pio_led, 
+		reset_reset_n           => rst,
+		pio_matrix_ext_conn_export => pio_matrix_s,
+		pio_button_ext_conn_export => button_states
+	);
+
 
+
+	matrix_set: process(clk, rst)
+	variable col_id : integer range 0 to 7;
+	begin
+		if rst = '0' then
+			matrix_s <= (
+				"111110011111",
+				"000100000101",
+				"010000000111",
+				"111110000000",
+				"000000011111",
+				"111110010001",
+				"101010011111",
+				"111010000000"
+			);
+		elsif rising_edge(clk) then
+			col_id := to_integer(unsigned(pio_matrix_s(15 downto 12)));
+			if col_id > 0 then
+				matrix_s(col_id-1) <= pio_matrix_s(11 downto 0);
+			end if;
+		end if;
+	end process;
+
+	
+	matrix_multiplex: process(clk, rst)
+
+	begin
+		if rst = '0' then
+			matrix_rows <= "111111111111";
+			matrix_cols <= "11111111";
+			matrix_timer <= 0;
+			matrix_col_index <= 0;
+		elsif rising_edge(clk) then
+			if matrix_timer = 2**15-1 then
+				matrix_timer <= 0;
+				if matrix_col_index = 7 then
+					matrix_col_index <= 0;
+				else
+					matrix_col_index <= matrix_col_index + 1;
+				end if;
 				
-		  begin
-				if rst = '0' then
-					counter <= 0;
-					state <= '0';
-				elsif rising_edge(clk) then
-					if counter = 2**15-1 then
-						counter <= 0;
-						if toggle_button = not state then
-							state <= toggle_button;
-							if toggle_button = '1' then
-								toggle_led_s <= not toggle_led_s;
-							end if;
-						end if;
-					else
-						counter <= counter + 1;
+				matrix_cols <= (others => '0');
+				matrix_rows <= (others => '0');
+			elsif matrix_timer = 2**11-1 then
+				matrix_cols(matrix_col_index) <= '1';
+				matrix_rows <= matrix_s(matrix_col_index);
+				matrix_timer <= matrix_timer + 1;
+			else
+				matrix_timer <= matrix_timer + 1;
+			end if;
+		end if;
+	end process;
+
+
+	button_debounce: process(clk, rst)	
+	begin
+		if rst = '0' then
+			button_timer <= 0;
+		elsif rising_edge(clk) then
+			if button_timer = 2**20-1 then
+				button_timer <= 0;
+				for id in 0 to 7 loop
+					if buttons(id) = not button_states(id) then
+						button_states(id) <= buttons(id);
+						
 					end if;
-				end if;
-		  end process;
-		  
-		  toggle_led <= toggle_led_s;
+				end loop;
+			else
+				button_timer <= button_timer + 1;
+			end if;
+		end if;
+	end process;
 end behav;
 

+ 218 - 11
nios2_uc.qsys

@@ -6,7 +6,7 @@
    version="1.0"
    description=""
    tags=""
-   categories="" />
+   categories="System" />
  <parameter name="bonusData"><![CDATA[bonusData 
 {
    element clk_50
@@ -29,7 +29,23 @@
    {
       datum baseAddress
       {
-         value = "528424";
+         value = "528520";
+         type = "String";
+      }
+   }
+   element lcd_16207
+   {
+      datum _sortIndex
+      {
+         value = "6";
+         type = "int";
+      }
+   }
+   element lcd_16207.control_slave
+   {
+      datum baseAddress
+      {
+         value = "528496";
          type = "String";
       }
    }
@@ -49,6 +65,14 @@
          type = "String";
       }
    }
+   element nios_custom_instr_floating_point_0
+   {
+      datum _sortIndex
+      {
+         value = "8";
+         type = "int";
+      }
+   }
    element onchip_memory2
    {
       datum _sortIndex
@@ -65,6 +89,22 @@
          type = "String";
       }
    }
+   element pio_BUTTON
+   {
+      datum _sortIndex
+      {
+         value = "7";
+         type = "int";
+      }
+   }
+   element pio_BUTTON.s1
+   {
+      datum baseAddress
+      {
+         value = "528448";
+         type = "String";
+      }
+   }
    element pio_LED
    {
       datum _sortIndex
@@ -77,7 +117,23 @@
    {
       datum baseAddress
       {
-         value = "528400";
+         value = "528480";
+         type = "String";
+      }
+   }
+   element pio_MATRIX
+   {
+      datum _sortIndex
+      {
+         value = "5";
+         type = "int";
+      }
+   }
+   element pio_MATRIX.s1
+   {
+      datum baseAddress
+      {
+         value = "528464";
          type = "String";
       }
    }
@@ -95,7 +151,7 @@
  <parameter name="hideFromIPCatalog" value="false" />
  <parameter name="lockedInterfaceDefinition" value="" />
  <parameter name="maxAdditionalLatency" value="1" />
- <parameter name="projectName" value="" />
+ <parameter name="projectName" value="myfirst_niosii.qpf" />
  <parameter name="sopcBorderPoints" value="false" />
  <parameter name="systemHash" value="0" />
  <parameter name="testBenchDutName" value="" />
@@ -103,11 +159,26 @@
  <parameter name="useTestBenchNamingPattern" value="false" />
  <instanceScript></instanceScript>
  <interface name="clk" internal="clk_50.clk_in" type="clock" dir="end" />
+ <interface
+   name="lcd_16207_ext"
+   internal="lcd_16207.external"
+   type="conduit"
+   dir="end" />
+ <interface
+   name="pio_button_ext_conn"
+   internal="pio_BUTTON.external_connection"
+   type="conduit"
+   dir="end" />
  <interface
    name="pio_led_ext_conn"
    internal="pio_LED.external_connection"
    type="conduit"
    dir="end" />
+ <interface
+   name="pio_matrix_ext_conn"
+   internal="pio_MATRIX.external_connection"
+   type="conduit"
+   dir="end" />
  <interface name="reset" internal="clk_50.clk_in_reset" type="reset" dir="end" />
  <module name="clk_50" kind="clock_source" version="18.1" enabled="1">
   <parameter name="clockFrequency" value="50000000" />
@@ -134,6 +205,11 @@
   <parameter name="writeBufferDepth" value="64" />
   <parameter name="writeIRQThreshold" value="8" />
  </module>
+ <module
+   name="lcd_16207"
+   kind="altera_avalon_lcd_16207"
+   version="18.1"
+   enabled="1" />
  <module name="nios2" kind="altera_nios2_gen2" version="18.1" enabled="1">
   <parameter name="AUTO_CLK_CLOCK_DOMAIN" value="1" />
   <parameter name="AUTO_CLK_RESET_DOMAIN" value="1" />
@@ -147,14 +223,14 @@
   <parameter name="cpuArchRev" value="1" />
   <parameter name="cpuID" value="0" />
   <parameter name="cpuReset" value="false" />
-  <parameter name="customInstSlavesSystemInfo" value="&lt;info/&gt;" />
+  <parameter name="customInstSlavesSystemInfo"><![CDATA[<info><slave name="nios_custom_instr_floating_point_0" baseAddress="252" addressSpan="4" clockCycleType="VARIABLE" /></info>]]></parameter>
   <parameter name="customInstSlavesSystemInfo_nios_a" value="&lt;info/&gt;" />
   <parameter name="customInstSlavesSystemInfo_nios_b" value="&lt;info/&gt;" />
   <parameter name="customInstSlavesSystemInfo_nios_c" value="&lt;info/&gt;" />
   <parameter name="dataAddrWidth" value="20" />
   <parameter name="dataMasterHighPerformanceAddrWidth" value="1" />
   <parameter name="dataMasterHighPerformanceMapParam" value="" />
-  <parameter name="dataSlaveMapParam"><![CDATA[<address-map><slave name='onchip_memory2.s1' start='0x40000' end='0x72000' type='altera_avalon_onchip_memory2.s1' /><slave name='nios2.debug_mem_slave' start='0x80800' end='0x81000' type='altera_nios2_gen2.debug_mem_slave' /><slave name='pio_LED.s1' start='0x81010' end='0x81020' type='altera_avalon_pio.s1' /><slave name='jtag_uart.avalon_jtag_slave' start='0x81028' end='0x81030' type='altera_avalon_jtag_uart.avalon_jtag_slave' /></address-map>]]></parameter>
+  <parameter name="dataSlaveMapParam"><![CDATA[<address-map><slave name='onchip_memory2.s1' start='0x40000' end='0x72000' type='altera_avalon_onchip_memory2.s1' /><slave name='nios2.debug_mem_slave' start='0x80800' end='0x81000' type='altera_nios2_gen2.debug_mem_slave' /><slave name='pio_BUTTON.s1' start='0x81040' end='0x81050' type='altera_avalon_pio.s1' /><slave name='pio_MATRIX.s1' start='0x81050' end='0x81060' type='altera_avalon_pio.s1' /><slave name='pio_LED.s1' start='0x81060' end='0x81070' type='altera_avalon_pio.s1' /><slave name='lcd_16207.control_slave' start='0x81070' end='0x81080' type='altera_avalon_lcd_16207.control_slave' /><slave name='jtag_uart.avalon_jtag_slave' start='0x81088' end='0x81090' type='altera_avalon_jtag_uart.avalon_jtag_slave' /></address-map>]]></parameter>
   <parameter name="data_master_high_performance_paddr_base" value="0" />
   <parameter name="data_master_high_performance_paddr_size" value="0" />
   <parameter name="data_master_paddr_base" value="0" />
@@ -193,7 +269,7 @@
   <parameter name="icache_tagramBlockType" value="Automatic" />
   <parameter name="impl" value="Tiny" />
   <parameter name="instAddrWidth" value="20" />
-  <parameter name="instSlaveMapParam"><![CDATA[<address-map><slave name='onchip_memory2.s1' start='0x40000' end='0x72000' type='altera_avalon_onchip_memory2.s1' /><slave name='nios2.debug_mem_slave' start='0x80800' end='0x81000' type='altera_nios2_gen2.debug_mem_slave' /><slave name='pio_LED.s1' start='0x81010' end='0x81020' type='altera_avalon_pio.s1' /><slave name='jtag_uart.avalon_jtag_slave' start='0x81028' end='0x81030' type='altera_avalon_jtag_uart.avalon_jtag_slave' /></address-map>]]></parameter>
+  <parameter name="instSlaveMapParam"><![CDATA[<address-map><slave name='onchip_memory2.s1' start='0x40000' end='0x72000' type='altera_avalon_onchip_memory2.s1' /><slave name='nios2.debug_mem_slave' start='0x80800' end='0x81000' type='altera_nios2_gen2.debug_mem_slave' /><slave name='pio_BUTTON.s1' start='0x81040' end='0x81050' type='altera_avalon_pio.s1' /><slave name='pio_MATRIX.s1' start='0x81050' end='0x81060' type='altera_avalon_pio.s1' /><slave name='pio_LED.s1' start='0x81060' end='0x81070' type='altera_avalon_pio.s1' /><slave name='lcd_16207.control_slave' start='0x81070' end='0x81080' type='altera_avalon_lcd_16207.control_slave' /><slave name='jtag_uart.avalon_jtag_slave' start='0x81088' end='0x81090' type='altera_avalon_jtag_uart.avalon_jtag_slave' /></address-map>]]></parameter>
   <parameter name="instructionMasterHighPerformanceAddrWidth" value="1" />
   <parameter name="instructionMasterHighPerformanceMapParam" value="" />
   <parameter name="instruction_master_high_performance_paddr_base" value="0" />
@@ -312,6 +388,13 @@
   <parameter name="tracefilename" value="" />
   <parameter name="userDefinedSettings" value="" />
  </module>
+ <module
+   name="nios_custom_instr_floating_point_0"
+   kind="altera_nios_custom_instr_floating_point"
+   version="18.1"
+   enabled="1">
+  <parameter name="useDivider" value="1" />
+ </module>
  <module
    name="onchip_memory2"
    kind="altera_avalon_onchip_memory2"
@@ -344,6 +427,20 @@
   <parameter name="useShallowMemBlocks" value="false" />
   <parameter name="writable" value="true" />
  </module>
+ <module name="pio_BUTTON" kind="altera_avalon_pio" version="18.1" enabled="1">
+  <parameter name="bitClearingEdgeCapReg" value="false" />
+  <parameter name="bitModifyingOutReg" value="false" />
+  <parameter name="captureEdge" value="false" />
+  <parameter name="clockRate" value="50000000" />
+  <parameter name="direction" value="Input" />
+  <parameter name="edgeType" value="RISING" />
+  <parameter name="generateIRQ" value="false" />
+  <parameter name="irqType" value="LEVEL" />
+  <parameter name="resetValue" value="0" />
+  <parameter name="simDoTestBenchWiring" value="false" />
+  <parameter name="simDrivenValue" value="0" />
+  <parameter name="width" value="8" />
+ </module>
  <module name="pio_LED" kind="altera_avalon_pio" version="18.1" enabled="1">
   <parameter name="bitClearingEdgeCapReg" value="false" />
   <parameter name="bitModifyingOutReg" value="false" />
@@ -358,13 +455,36 @@
   <parameter name="simDrivenValue" value="0" />
   <parameter name="width" value="32" />
  </module>
+ <module name="pio_MATRIX" kind="altera_avalon_pio" version="18.1" enabled="1">
+  <parameter name="bitClearingEdgeCapReg" value="false" />
+  <parameter name="bitModifyingOutReg" value="false" />
+  <parameter name="captureEdge" value="false" />
+  <parameter name="clockRate" value="50000000" />
+  <parameter name="direction" value="Output" />
+  <parameter name="edgeType" value="RISING" />
+  <parameter name="generateIRQ" value="false" />
+  <parameter name="irqType" value="LEVEL" />
+  <parameter name="resetValue" value="0" />
+  <parameter name="simDoTestBenchWiring" value="false" />
+  <parameter name="simDrivenValue" value="0" />
+  <parameter name="width" value="20" />
+ </module>
  <connection
    kind="avalon"
    version="18.1"
    start="nios2.data_master"
    end="jtag_uart.avalon_jtag_slave">
   <parameter name="arbitrationPriority" value="1" />
-  <parameter name="baseAddress" value="0x00081028" />
+  <parameter name="baseAddress" value="0x00081088" />
+  <parameter name="defaultConnection" value="false" />
+ </connection>
+ <connection
+   kind="avalon"
+   version="18.1"
+   start="nios2.data_master"
+   end="lcd_16207.control_slave">
+  <parameter name="arbitrationPriority" value="1" />
+  <parameter name="baseAddress" value="0x00081070" />
   <parameter name="defaultConnection" value="false" />
  </connection>
  <connection
@@ -391,7 +511,25 @@
    start="nios2.data_master"
    end="pio_LED.s1">
   <parameter name="arbitrationPriority" value="1" />
-  <parameter name="baseAddress" value="0x00081010" />
+  <parameter name="baseAddress" value="0x00081060" />
+  <parameter name="defaultConnection" value="false" />
+ </connection>
+ <connection
+   kind="avalon"
+   version="18.1"
+   start="nios2.data_master"
+   end="pio_MATRIX.s1">
+  <parameter name="arbitrationPriority" value="1" />
+  <parameter name="baseAddress" value="0x00081050" />
+  <parameter name="defaultConnection" value="false" />
+ </connection>
+ <connection
+   kind="avalon"
+   version="18.1"
+   start="nios2.data_master"
+   end="pio_BUTTON.s1">
+  <parameter name="arbitrationPriority" value="1" />
+  <parameter name="baseAddress" value="0x00081040" />
   <parameter name="defaultConnection" value="false" />
  </connection>
  <connection
@@ -400,7 +538,16 @@
    start="nios2.instruction_master"
    end="jtag_uart.avalon_jtag_slave">
   <parameter name="arbitrationPriority" value="1" />
-  <parameter name="baseAddress" value="0x00081028" />
+  <parameter name="baseAddress" value="0x00081088" />
+  <parameter name="defaultConnection" value="false" />
+ </connection>
+ <connection
+   kind="avalon"
+   version="18.1"
+   start="nios2.instruction_master"
+   end="lcd_16207.control_slave">
+  <parameter name="arbitrationPriority" value="1" />
+  <parameter name="baseAddress" value="0x00081070" />
   <parameter name="defaultConnection" value="false" />
  </connection>
  <connection
@@ -418,7 +565,7 @@
    start="nios2.instruction_master"
    end="pio_LED.s1">
   <parameter name="arbitrationPriority" value="1" />
-  <parameter name="baseAddress" value="0x00081010" />
+  <parameter name="baseAddress" value="0x00081060" />
   <parameter name="defaultConnection" value="false" />
  </connection>
  <connection
@@ -430,9 +577,30 @@
   <parameter name="baseAddress" value="0x00040000" />
   <parameter name="defaultConnection" value="false" />
  </connection>
+ <connection
+   kind="avalon"
+   version="18.1"
+   start="nios2.instruction_master"
+   end="pio_MATRIX.s1">
+  <parameter name="arbitrationPriority" value="1" />
+  <parameter name="baseAddress" value="0x00081050" />
+  <parameter name="defaultConnection" value="false" />
+ </connection>
+ <connection
+   kind="avalon"
+   version="18.1"
+   start="nios2.instruction_master"
+   end="pio_BUTTON.s1">
+  <parameter name="arbitrationPriority" value="1" />
+  <parameter name="baseAddress" value="0x00081040" />
+  <parameter name="defaultConnection" value="false" />
+ </connection>
  <connection kind="clock" version="18.1" start="clk_50.clk" end="nios2.clk" />
  <connection kind="clock" version="18.1" start="clk_50.clk" end="pio_LED.clk" />
  <connection kind="clock" version="18.1" start="clk_50.clk" end="jtag_uart.clk" />
+ <connection kind="clock" version="18.1" start="clk_50.clk" end="pio_MATRIX.clk" />
+ <connection kind="clock" version="18.1" start="clk_50.clk" end="lcd_16207.clk" />
+ <connection kind="clock" version="18.1" start="clk_50.clk" end="pio_BUTTON.clk" />
  <connection
    kind="clock"
    version="18.1"
@@ -441,6 +609,15 @@
  <connection kind="interrupt" version="18.1" start="nios2.irq" end="jtag_uart.irq">
   <parameter name="irqNumber" value="0" />
  </connection>
+ <connection
+   kind="nios_custom_instruction"
+   version="18.1"
+   start="nios2.custom_instruction_master"
+   end="nios_custom_instr_floating_point_0.s1">
+  <parameter name="CIName">nios_custom_instr_floating_point_0</parameter>
+  <parameter name="arbitrationPriority" value="1" />
+  <parameter name="baseAddress" value="252" />
+ </connection>
  <connection
    kind="reset"
    version="18.1"
@@ -456,6 +633,21 @@
    version="18.1"
    start="clk_50.clk_reset"
    end="pio_LED.reset" />
+ <connection
+   kind="reset"
+   version="18.1"
+   start="clk_50.clk_reset"
+   end="pio_BUTTON.reset" />
+ <connection
+   kind="reset"
+   version="18.1"
+   start="clk_50.clk_reset"
+   end="lcd_16207.reset" />
+ <connection
+   kind="reset"
+   version="18.1"
+   start="clk_50.clk_reset"
+   end="pio_MATRIX.reset" />
  <connection
    kind="reset"
    version="18.1"
@@ -476,6 +668,21 @@
    version="18.1"
    start="nios2.debug_reset_request"
    end="pio_LED.reset" />
+ <connection
+   kind="reset"
+   version="18.1"
+   start="nios2.debug_reset_request"
+   end="pio_MATRIX.reset" />
+ <connection
+   kind="reset"
+   version="18.1"
+   start="nios2.debug_reset_request"
+   end="lcd_16207.reset" />
+ <connection
+   kind="reset"
+   version="18.1"
+   start="nios2.debug_reset_request"
+   end="pio_BUTTON.reset" />
  <connection
    kind="reset"
    version="18.1"

Những thai đổi đã bị hủy bỏ vì nó quá lớn
+ 803 - 241
nios2_uc.sopcinfo


+ 82 - 26
nios2_uc/nios2_uc.bsf

@@ -4,9 +4,9 @@ editor if you plan to continue editing the block that represents it in
 the Block Editor! File corruption is VERY likely to occur.
 */
 /*
-Copyright (C) 2019  Intel Corporation. All rights reserved.
+Copyright (C) 2018  Intel Corporation. All rights reserved.
 Your use of Intel Corporation's design tools, logic functions 
-and other software and tools, and any partner logic 
+and other software and tools, and its AMPP partner logic 
 functions, and any output files from any of the foregoing 
 (including device programming or simulation files), and any 
 associated documentation or information are expressly subject 
@@ -16,14 +16,13 @@ the Intel FPGA IP License Agreement, or other applicable license
 agreement, including, without limitation, that your use is for
 the sole purpose of programming logic devices manufactured by
 Intel and sold by Intel or its authorized distributors.  Please
-refer to the applicable agreement for further details, at
-https://fpgasoftware.intel.com/eula.
+refer to the applicable agreement for further details.
 */
 (header "symbol" (version "1.1"))
 (symbol
-	(rect 0 0 416 184)
+	(rect 0 0 416 352)
 	(text "nios2_uc" (rect 182 -1 217 11)(font "Arial" (font_size 10)))
-	(text "inst" (rect 8 168 20 180)(font "Arial" ))
+	(text "inst" (rect 8 336 20 348)(font "Arial" ))
 	(port
 		(pt 0 72)
 		(input)
@@ -32,40 +31,97 @@ https://fpgasoftware.intel.com/eula.
 		(line (pt 0 72)(pt 176 72)(line_width 1))
 	)
 	(port
-		(pt 0 152)
+		(pt 0 200)
+		(input)
+		(text "pio_button_ext_conn_export[7..0]" (rect 0 0 133 12)(font "Arial" (font_size 8)))
+		(text "pio_button_ext_conn_export[7..0]" (rect 4 189 196 200)(font "Arial" (font_size 8)))
+		(line (pt 0 200)(pt 176 200)(line_width 3))
+	)
+	(port
+		(pt 0 320)
 		(input)
 		(text "reset_reset_n" (rect 0 0 56 12)(font "Arial" (font_size 8)))
-		(text "reset_reset_n" (rect 4 141 82 152)(font "Arial" (font_size 8)))
-		(line (pt 0 152)(pt 176 152)(line_width 1))
+		(text "reset_reset_n" (rect 4 309 82 320)(font "Arial" (font_size 8)))
+		(line (pt 0 320)(pt 176 320)(line_width 1))
 	)
 	(port
 		(pt 0 112)
 		(output)
+		(text "lcd_16207_ext_RS" (rect 0 0 76 12)(font "Arial" (font_size 8)))
+		(text "lcd_16207_ext_RS" (rect 4 101 100 112)(font "Arial" (font_size 8)))
+		(line (pt 0 112)(pt 176 112)(line_width 1))
+	)
+	(port
+		(pt 0 128)
+		(output)
+		(text "lcd_16207_ext_RW" (rect 0 0 81 12)(font "Arial" (font_size 8)))
+		(text "lcd_16207_ext_RW" (rect 4 117 100 128)(font "Arial" (font_size 8)))
+		(line (pt 0 128)(pt 176 128)(line_width 1))
+	)
+	(port
+		(pt 0 160)
+		(output)
+		(text "lcd_16207_ext_E" (rect 0 0 69 12)(font "Arial" (font_size 8)))
+		(text "lcd_16207_ext_E" (rect 4 149 94 160)(font "Arial" (font_size 8)))
+		(line (pt 0 160)(pt 176 160)(line_width 1))
+	)
+	(port
+		(pt 0 240)
+		(output)
 		(text "pio_led_ext_conn_export[31..0]" (rect 0 0 123 12)(font "Arial" (font_size 8)))
-		(text "pio_led_ext_conn_export[31..0]" (rect 4 101 184 112)(font "Arial" (font_size 8)))
-		(line (pt 0 112)(pt 176 112)(line_width 3))
+		(text "pio_led_ext_conn_export[31..0]" (rect 4 229 184 240)(font "Arial" (font_size 8)))
+		(line (pt 0 240)(pt 176 240)(line_width 3))
+	)
+	(port
+		(pt 0 280)
+		(output)
+		(text "pio_matrix_ext_conn_export[19..0]" (rect 0 0 138 12)(font "Arial" (font_size 8)))
+		(text "pio_matrix_ext_conn_export[19..0]" (rect 4 269 202 280)(font "Arial" (font_size 8)))
+		(line (pt 0 280)(pt 176 280)(line_width 3))
+	)
+	(port
+		(pt 0 144)
+		(bidir)
+		(text "lcd_16207_ext_data[7..0]" (rect 0 0 99 12)(font "Arial" (font_size 8)))
+		(text "lcd_16207_ext_data[7..0]" (rect 4 133 148 144)(font "Arial" (font_size 8)))
+		(line (pt 0 144)(pt 176 144)(line_width 3))
 	)
 	(drawing
 		(text "clk" (rect 161 43 340 99)(font "Arial" (color 128 0 0)(font_size 9)))
 		(text "clk" (rect 181 67 380 144)(font "Arial" (color 0 0 0)))
-		(text "pio_led_ext_conn" (rect 75 83 246 179)(font "Arial" (color 128 0 0)(font_size 9)))
-		(text "export" (rect 181 107 398 224)(font "Arial" (color 0 0 0)))
-		(text "reset" (rect 147 123 324 259)(font "Arial" (color 128 0 0)(font_size 9)))
-		(text "reset_n" (rect 181 147 404 304)(font "Arial" (color 0 0 0)))
-		(text " nios2_uc " (rect 375 168 810 346)(font "Arial" ))
+		(text "lcd_16207_ext" (rect 95 83 268 179)(font "Arial" (color 128 0 0)(font_size 9)))
+		(text "RS" (rect 181 107 374 224)(font "Arial" (color 0 0 0)))
+		(text "RW" (rect 181 123 374 256)(font "Arial" (color 0 0 0)))
+		(text "data" (rect 181 139 386 288)(font "Arial" (color 0 0 0)))
+		(text "E" (rect 181 155 368 320)(font "Arial" (color 0 0 0)))
+		(text "pio_button_ext_conn" (rect 56 171 226 355)(font "Arial" (color 128 0 0)(font_size 9)))
+		(text "export" (rect 181 195 398 400)(font "Arial" (color 0 0 0)))
+		(text "pio_led_ext_conn" (rect 75 211 246 435)(font "Arial" (color 128 0 0)(font_size 9)))
+		(text "export" (rect 181 235 398 480)(font "Arial" (color 0 0 0)))
+		(text "pio_matrix_ext_conn" (rect 56 251 226 515)(font "Arial" (color 128 0 0)(font_size 9)))
+		(text "export" (rect 181 275 398 560)(font "Arial" (color 0 0 0)))
+		(text "reset" (rect 147 291 324 595)(font "Arial" (color 128 0 0)(font_size 9)))
+		(text "reset_n" (rect 181 315 404 640)(font "Arial" (color 0 0 0)))
+		(text " nios2_uc " (rect 375 336 810 682)(font "Arial" ))
 		(line (pt 176 32)(pt 240 32)(line_width 1))
-		(line (pt 240 32)(pt 240 168)(line_width 1))
-		(line (pt 176 168)(pt 240 168)(line_width 1))
-		(line (pt 176 32)(pt 176 168)(line_width 1))
+		(line (pt 240 32)(pt 240 336)(line_width 1))
+		(line (pt 176 336)(pt 240 336)(line_width 1))
+		(line (pt 176 32)(pt 176 336)(line_width 1))
 		(line (pt 177 52)(pt 177 76)(line_width 1))
 		(line (pt 178 52)(pt 178 76)(line_width 1))
-		(line (pt 177 92)(pt 177 116)(line_width 1))
-		(line (pt 178 92)(pt 178 116)(line_width 1))
-		(line (pt 177 132)(pt 177 156)(line_width 1))
-		(line (pt 178 132)(pt 178 156)(line_width 1))
+		(line (pt 177 92)(pt 177 164)(line_width 1))
+		(line (pt 178 92)(pt 178 164)(line_width 1))
+		(line (pt 177 180)(pt 177 204)(line_width 1))
+		(line (pt 178 180)(pt 178 204)(line_width 1))
+		(line (pt 177 220)(pt 177 244)(line_width 1))
+		(line (pt 178 220)(pt 178 244)(line_width 1))
+		(line (pt 177 260)(pt 177 284)(line_width 1))
+		(line (pt 178 260)(pt 178 284)(line_width 1))
+		(line (pt 177 300)(pt 177 324)(line_width 1))
+		(line (pt 178 300)(pt 178 324)(line_width 1))
 		(line (pt 0 0)(pt 416 0)(line_width 1))
-		(line (pt 416 0)(pt 416 184)(line_width 1))
-		(line (pt 0 184)(pt 416 184)(line_width 1))
-		(line (pt 0 0)(pt 0 184)(line_width 1))
+		(line (pt 416 0)(pt 416 352)(line_width 1))
+		(line (pt 0 352)(pt 416 352)(line_width 1))
+		(line (pt 0 0)(pt 0 352)(line_width 1))
 	)
 )

Những thai đổi đã bị hủy bỏ vì nó quá lớn
+ 28 - 2
nios2_uc/nios2_uc.xml


+ 15 - 3
nios2_uc/nios2_uc_bb.v

@@ -1,10 +1,22 @@
 
 module nios2_uc (
 	clk_clk,
-	reset_reset_n,
-	pio_led_ext_conn_export);	
+	lcd_16207_ext_RS,
+	lcd_16207_ext_RW,
+	lcd_16207_ext_data,
+	lcd_16207_ext_E,
+	pio_button_ext_conn_export,
+	pio_led_ext_conn_export,
+	pio_matrix_ext_conn_export,
+	reset_reset_n);	
 
 	input		clk_clk;
-	input		reset_reset_n;
+	output		lcd_16207_ext_RS;
+	output		lcd_16207_ext_RW;
+	inout	[7:0]	lcd_16207_ext_data;
+	output		lcd_16207_ext_E;
+	input	[7:0]	pio_button_ext_conn_export;
 	output	[31:0]	pio_led_ext_conn_export;
+	output	[19:0]	pio_matrix_ext_conn_export;
+	input		reset_reset_n;
 endmodule

+ 9 - 3
nios2_uc/nios2_uc_inst.v

@@ -1,6 +1,12 @@
 	nios2_uc u0 (
-		.clk_clk                 (<connected-to-clk_clk>),                 //              clk.clk
-		.reset_reset_n           (<connected-to-reset_reset_n>),           //            reset.reset_n
-		.pio_led_ext_conn_export (<connected-to-pio_led_ext_conn_export>)  // pio_led_ext_conn.export
+		.clk_clk                    (<connected-to-clk_clk>),                    //                 clk.clk
+		.lcd_16207_ext_RS           (<connected-to-lcd_16207_ext_RS>),           //       lcd_16207_ext.RS
+		.lcd_16207_ext_RW           (<connected-to-lcd_16207_ext_RW>),           //                    .RW
+		.lcd_16207_ext_data         (<connected-to-lcd_16207_ext_data>),         //                    .data
+		.lcd_16207_ext_E            (<connected-to-lcd_16207_ext_E>),            //                    .E
+		.pio_button_ext_conn_export (<connected-to-pio_button_ext_conn_export>), // pio_button_ext_conn.export
+		.pio_led_ext_conn_export    (<connected-to-pio_led_ext_conn_export>),    //    pio_led_ext_conn.export
+		.pio_matrix_ext_conn_export (<connected-to-pio_matrix_ext_conn_export>), // pio_matrix_ext_conn.export
+		.reset_reset_n              (<connected-to-reset_reset_n>)               //               reset.reset_n
 	);
 

Những thai đổi đã bị hủy bỏ vì nó quá lớn
+ 803 - 241
nios2_uc/synthesis/nios2_uc.debuginfo


Những thai đổi đã bị hủy bỏ vì nó quá lớn
+ 178 - 77
nios2_uc/synthesis/nios2_uc.qip


+ 236 - 0
nios2_uc/synthesis/nios2_uc.regmap

@@ -3,6 +3,124 @@
 <name>nios2_uc</name>
 <peripherals>
 <peripheral>
+      <name>nios2_uc_pio_MATRIX_s1_altera_avalon_pio</name><baseAddress>0x00000000</baseAddress> 
+      <addressBlock>
+        <offset>0x0</offset>
+        <size>32</size>
+        <usage>registers</usage>
+      </addressBlock>
+      <registers>
+        <register>     
+         <name>DATA</name>  
+         <displayName>Data</displayName>
+         <description>Reading from data returns the value present at the input ports. If the PIO core hardware is configured in output-only mode, reading from data returns an undefined value. Writing to data stores the value to a register that drives the output ports. If the PIO core hardware is configured in input-only mode, writing to data has no effect. If the PIO core hardware is in bidirectional mode, the registered value appears on an output port only when the corresponding bit in the direction register is set to 1 (output).</description>
+         <addressOffset>0x0</addressOffset>
+         <size>32</size>
+         <access>read-write</access>
+         <resetValue>0x0</resetValue>
+         <resetMask>0xffffffff</resetMask> 
+         <fields>
+           <field><name>data</name>
+           <description>Reads: Data value currently on PIO inputs. Writes: New value to drive on PIO outputs.</description>
+            <bitOffset>0x0</bitOffset>
+            <bitWidth>32</bitWidth>
+            <access>read-write</access>
+        </field>
+       </fields>
+     </register> 
+        <register>     
+         <name>DIRECTION</name>  
+         <displayName>Direction</displayName>
+         <description>The direction register controls the data direction for each PIO port, assuming the port is bidirectional. When bit n in direction is set to 1, port n drives out the value in the corresponding bit of the data register The direction register only exists when the PIO core hardware is configured in bidirectional mode. The mode (input, output, or bidirectional) is specified at system generation time, and cannot be changed at runtime. In input-only or output-only mode, the direction register does not exist. In this case, reading direction returns an undefined value, writing direction has no effect. After reset, all bits of direction are 0, so that all bidirectional I/O ports are configured as inputs. If those PIO ports are connected to device pins, the pins are held in a high-impedance state. In bi-directional mode, to change the direction of the PIO port, reprogram the direction register.</description>
+         <addressOffset>0x4</addressOffset>
+         <size>32</size>
+         <access>read-write</access>
+         <resetValue>0x0</resetValue>
+         <resetMask>0xffffffff</resetMask> 
+         <fields>
+           <field><name>direction</name>
+            <description>Individual direction control for each I/O port. A value of 0 sets the direction to input; 1 sets the direction to output.</description>
+            <bitOffset>0x0</bitOffset>
+            <bitWidth>32</bitWidth>
+            <access>read-write</access>
+        </field>
+       </fields>
+     </register> 
+        <register>     
+         <name>IRQ_MASK</name>  
+         <displayName>Interrupt mask</displayName>
+         <description>Setting a bit in the interruptmask register to 1 enables interrupts for the corresponding PIO input port. Interrupt behavior depends on the hardware configuration of the PIO core. The interruptmask register only exists when the hardware is configured to generate IRQs. If the core cannot generate IRQs, reading interruptmask returns an undefined value, and writing to interruptmask has no effect. After reset, all bits of interruptmask are zero, so that interrupts are disabled for all PIO ports.</description>
+         <addressOffset>0x8</addressOffset>
+         <size>32</size>
+         <access>read-write</access>
+         <resetValue>0x0</resetValue>
+         <resetMask>0xffffffff</resetMask> 
+         <fields>
+           <field><name>interruptmask</name>
+            <description>IRQ enable/disable for each input port. Setting a bit to 1 enables interrupts for the corresponding port.</description>
+            <bitOffset>0x0</bitOffset>
+            <bitWidth>32</bitWidth>
+            <access>read-write</access>
+        </field>
+       </fields>
+     </register> 
+        <register>     
+         <name>EDGE_CAP</name>  
+         <displayName>Edge capture</displayName>
+         <description>Bit n in the edgecapture register is set to 1 whenever an edge is detected on input port n. An Avalon-MM master peripheral can read the edgecapture register to determine if an edge has occurred on any of the PIO input ports. If the option Enable bit-clearing for edge capture register is turned off, writing any value to the edgecapture register clears all bits in the register. Otherwise, writing a 1 to a particular bit in the register clears only that bit. The type of edge(s) to detect is fixed in hardware at system generation time. The edgecapture register only exists when the hardware is configured to capture edges. If the core is not configured to capture edges, reading from edgecapture returns an undefined value, and writing to edgecapture has no effect.</description>
+         <addressOffset>0xc</addressOffset>
+         <size>32</size>
+         <access>read-write</access>
+         <resetValue>0x0</resetValue>
+         <resetMask>0xffffffff</resetMask> 
+         <fields>
+           <field><name>edgecapture</name>
+            <description>Edge detection for each input port.</description>
+            <bitOffset>0x0</bitOffset>
+            <bitWidth>32</bitWidth>
+            <access>read-write</access>
+        </field>
+       </fields>
+     </register> 
+        <register>
+         <name>SET_BIT</name>  
+         <displayName>Outset</displayName>
+         <description>You can use the outset register to set individual bits of the output port. For example, to set bit 6 of the output port, write 0x40 to the outset register. This register is only present when the option Enable individual bit set/clear output register is turned on.</description>
+         <addressOffset>0x10</addressOffset>
+         <size>32</size>
+         <access>write-only</access>
+         <resetValue>0x0</resetValue>
+         <resetMask>0xffffffff</resetMask> 
+         <fields>
+           <field><name>outset</name>
+            <description>Specifies which bit of the output port to set.</description>
+            <bitOffset>0x0</bitOffset>
+            <bitWidth>32</bitWidth>
+            <access>write-only</access>
+        </field>
+       </fields>
+     </register> 
+        <register>     
+         <name>CLEAR_BITS</name>  
+         <displayName>Outclear</displayName>
+         <description>You can use the outclear register to clear individual bits of the output port. For example, writing 0x08 to the outclear register clears bit 3 of the output port. This register is only present when the option Enable individual bit set/clear output register is turned on.</description>
+         <addressOffset>0x14</addressOffset>
+         <size>32</size>
+         <access>write-only</access>
+         <resetValue>0x0</resetValue>
+         <resetMask>0xffffffff</resetMask> 
+         <fields>
+           <field><name>outclear</name>
+            <description>Specifies which output bit to clear.</description>
+            <bitOffset>0x0</bitOffset>
+            <bitWidth>32</bitWidth>
+            <access>write-only</access>
+        </field>
+       </fields>
+     </register>            
+    </registers>
+   </peripheral>
+  <peripheral>
       <name>nios2_uc_pio_LED_s1_altera_avalon_pio</name><baseAddress>0x00000000</baseAddress> 
       <addressBlock>
         <offset>0x0</offset>
@@ -120,6 +238,124 @@
      </register>            
     </registers>
    </peripheral>
+  <peripheral>
+      <name>nios2_uc_pio_BUTTON_s1_altera_avalon_pio</name><baseAddress>0x00000000</baseAddress> 
+      <addressBlock>
+        <offset>0x0</offset>
+        <size>32</size>
+        <usage>registers</usage>
+      </addressBlock>
+      <registers>
+        <register>     
+         <name>DATA</name>  
+         <displayName>Data</displayName>
+         <description>Reading from data returns the value present at the input ports. If the PIO core hardware is configured in output-only mode, reading from data returns an undefined value. Writing to data stores the value to a register that drives the output ports. If the PIO core hardware is configured in input-only mode, writing to data has no effect. If the PIO core hardware is in bidirectional mode, the registered value appears on an output port only when the corresponding bit in the direction register is set to 1 (output).</description>
+         <addressOffset>0x0</addressOffset>
+         <size>32</size>
+         <access>read-write</access>
+         <resetValue>0x0</resetValue>
+         <resetMask>0xffffffff</resetMask> 
+         <fields>
+           <field><name>data</name>
+           <description>Reads: Data value currently on PIO inputs. Writes: New value to drive on PIO outputs.</description>
+            <bitOffset>0x0</bitOffset>
+            <bitWidth>32</bitWidth>
+            <access>read-write</access>
+        </field>
+       </fields>
+     </register> 
+        <register>     
+         <name>DIRECTION</name>  
+         <displayName>Direction</displayName>
+         <description>The direction register controls the data direction for each PIO port, assuming the port is bidirectional. When bit n in direction is set to 1, port n drives out the value in the corresponding bit of the data register The direction register only exists when the PIO core hardware is configured in bidirectional mode. The mode (input, output, or bidirectional) is specified at system generation time, and cannot be changed at runtime. In input-only or output-only mode, the direction register does not exist. In this case, reading direction returns an undefined value, writing direction has no effect. After reset, all bits of direction are 0, so that all bidirectional I/O ports are configured as inputs. If those PIO ports are connected to device pins, the pins are held in a high-impedance state. In bi-directional mode, to change the direction of the PIO port, reprogram the direction register.</description>
+         <addressOffset>0x4</addressOffset>
+         <size>32</size>
+         <access>read-write</access>
+         <resetValue>0x0</resetValue>
+         <resetMask>0xffffffff</resetMask> 
+         <fields>
+           <field><name>direction</name>
+            <description>Individual direction control for each I/O port. A value of 0 sets the direction to input; 1 sets the direction to output.</description>
+            <bitOffset>0x0</bitOffset>
+            <bitWidth>32</bitWidth>
+            <access>read-write</access>
+        </field>
+       </fields>
+     </register> 
+        <register>     
+         <name>IRQ_MASK</name>  
+         <displayName>Interrupt mask</displayName>
+         <description>Setting a bit in the interruptmask register to 1 enables interrupts for the corresponding PIO input port. Interrupt behavior depends on the hardware configuration of the PIO core. The interruptmask register only exists when the hardware is configured to generate IRQs. If the core cannot generate IRQs, reading interruptmask returns an undefined value, and writing to interruptmask has no effect. After reset, all bits of interruptmask are zero, so that interrupts are disabled for all PIO ports.</description>
+         <addressOffset>0x8</addressOffset>
+         <size>32</size>
+         <access>read-write</access>
+         <resetValue>0x0</resetValue>
+         <resetMask>0xffffffff</resetMask> 
+         <fields>
+           <field><name>interruptmask</name>
+            <description>IRQ enable/disable for each input port. Setting a bit to 1 enables interrupts for the corresponding port.</description>
+            <bitOffset>0x0</bitOffset>
+            <bitWidth>32</bitWidth>
+            <access>read-write</access>
+        </field>
+       </fields>
+     </register> 
+        <register>     
+         <name>EDGE_CAP</name>  
+         <displayName>Edge capture</displayName>
+         <description>Bit n in the edgecapture register is set to 1 whenever an edge is detected on input port n. An Avalon-MM master peripheral can read the edgecapture register to determine if an edge has occurred on any of the PIO input ports. If the option Enable bit-clearing for edge capture register is turned off, writing any value to the edgecapture register clears all bits in the register. Otherwise, writing a 1 to a particular bit in the register clears only that bit. The type of edge(s) to detect is fixed in hardware at system generation time. The edgecapture register only exists when the hardware is configured to capture edges. If the core is not configured to capture edges, reading from edgecapture returns an undefined value, and writing to edgecapture has no effect.</description>
+         <addressOffset>0xc</addressOffset>
+         <size>32</size>
+         <access>read-write</access>
+         <resetValue>0x0</resetValue>
+         <resetMask>0xffffffff</resetMask> 
+         <fields>
+           <field><name>edgecapture</name>
+            <description>Edge detection for each input port.</description>
+            <bitOffset>0x0</bitOffset>
+            <bitWidth>32</bitWidth>
+            <access>read-write</access>
+        </field>
+       </fields>
+     </register> 
+        <register>
+         <name>SET_BIT</name>  
+         <displayName>Outset</displayName>
+         <description>You can use the outset register to set individual bits of the output port. For example, to set bit 6 of the output port, write 0x40 to the outset register. This register is only present when the option Enable individual bit set/clear output register is turned on.</description>
+         <addressOffset>0x10</addressOffset>
+         <size>32</size>
+         <access>write-only</access>
+         <resetValue>0x0</resetValue>
+         <resetMask>0xffffffff</resetMask> 
+         <fields>
+           <field><name>outset</name>
+            <description>Specifies which bit of the output port to set.</description>
+            <bitOffset>0x0</bitOffset>
+            <bitWidth>32</bitWidth>
+            <access>write-only</access>
+        </field>
+       </fields>
+     </register> 
+        <register>     
+         <name>CLEAR_BITS</name>  
+         <displayName>Outclear</displayName>
+         <description>You can use the outclear register to clear individual bits of the output port. For example, writing 0x08 to the outclear register clears bit 3 of the output port. This register is only present when the option Enable individual bit set/clear output register is turned on.</description>
+         <addressOffset>0x14</addressOffset>
+         <size>32</size>
+         <access>write-only</access>
+         <resetValue>0x0</resetValue>
+         <resetMask>0xffffffff</resetMask> 
+         <fields>
+           <field><name>outclear</name>
+            <description>Specifies which output bit to clear.</description>
+            <bitOffset>0x0</bitOffset>
+            <bitWidth>32</bitWidth>
+            <access>write-only</access>
+        </field>
+       </fields>
+     </register>            
+    </registers>
+   </peripheral>
   <peripheral>
       <name>nios2_uc_jtag_uart_avalon_jtag_slave_altera_avalon_jtag_uart</name><baseAddress>0x00000000</baseAddress> 
       <addressBlock>

+ 609 - 57
nios2_uc/synthesis/nios2_uc.vhd

@@ -1,6 +1,6 @@
 -- nios2_uc.vhd
 
--- Generated using ACDS version 18.1 646
+-- Generated using ACDS version 18.1 625
 
 library IEEE;
 use IEEE.std_logic_1164.all;
@@ -8,9 +8,15 @@ use IEEE.numeric_std.all;
 
 entity nios2_uc is
 	port (
-		clk_clk                 : in  std_logic                     := '0'; --              clk.clk
-		pio_led_ext_conn_export : out std_logic_vector(31 downto 0);        -- pio_led_ext_conn.export
-		reset_reset_n           : in  std_logic                     := '0'  --            reset.reset_n
+		clk_clk                    : in    std_logic                     := '0';             --                 clk.clk
+		lcd_16207_ext_RS           : out   std_logic;                                        --       lcd_16207_ext.RS
+		lcd_16207_ext_RW           : out   std_logic;                                        --                    .RW
+		lcd_16207_ext_data         : inout std_logic_vector(7 downto 0)  := (others => '0'); --                    .data
+		lcd_16207_ext_E            : out   std_logic;                                        --                    .E
+		pio_button_ext_conn_export : in    std_logic_vector(7 downto 0)  := (others => '0'); -- pio_button_ext_conn.export
+		pio_led_ext_conn_export    : out   std_logic_vector(31 downto 0);                    --    pio_led_ext_conn.export
+		pio_matrix_ext_conn_export : out   std_logic_vector(19 downto 0);                    -- pio_matrix_ext_conn.export
+		reset_reset_n              : in    std_logic                     := '0'              --               reset.reset_n
 	);
 end entity nios2_uc;
 
@@ -30,6 +36,23 @@ architecture rtl of nios2_uc is
 		);
 	end component nios2_uc_jtag_uart;
 
+	component nios2_uc_lcd_16207 is
+		port (
+			reset_n       : in    std_logic                    := 'X';             -- reset_n
+			clk           : in    std_logic                    := 'X';             -- clk
+			begintransfer : in    std_logic                    := 'X';             -- begintransfer
+			read          : in    std_logic                    := 'X';             -- read
+			write         : in    std_logic                    := 'X';             -- write
+			readdata      : out   std_logic_vector(7 downto 0);                    -- readdata
+			writedata     : in    std_logic_vector(7 downto 0) := (others => 'X'); -- writedata
+			address       : in    std_logic_vector(1 downto 0) := (others => 'X'); -- address
+			LCD_RS        : out   std_logic;                                       -- export
+			LCD_RW        : out   std_logic;                                       -- export
+			LCD_data      : inout std_logic_vector(7 downto 0) := (others => 'X'); -- export
+			LCD_E         : out   std_logic                                        -- export
+		);
+	end component nios2_uc_lcd_16207;
+
 	component nios2_uc_nios2 is
 		port (
 			clk                                 : in  std_logic                     := 'X';             -- clk
@@ -57,10 +80,44 @@ architecture rtl of nios2_uc is
 			debug_mem_slave_waitrequest         : out std_logic;                                        -- waitrequest
 			debug_mem_slave_write               : in  std_logic                     := 'X';             -- write
 			debug_mem_slave_writedata           : in  std_logic_vector(31 downto 0) := (others => 'X'); -- writedata
-			dummy_ci_port                       : out std_logic                                         -- readra
+			E_ci_multi_done                     : in  std_logic                     := 'X';             -- done
+			E_ci_multi_clk_en                   : out std_logic;                                        -- clk_en
+			E_ci_multi_start                    : out std_logic;                                        -- start
+			E_ci_result                         : in  std_logic_vector(31 downto 0) := (others => 'X'); -- result
+			D_ci_a                              : out std_logic_vector(4 downto 0);                     -- a
+			D_ci_b                              : out std_logic_vector(4 downto 0);                     -- b
+			D_ci_c                              : out std_logic_vector(4 downto 0);                     -- c
+			D_ci_n                              : out std_logic_vector(7 downto 0);                     -- n
+			D_ci_readra                         : out std_logic;                                        -- readra
+			D_ci_readrb                         : out std_logic;                                        -- readrb
+			D_ci_writerc                        : out std_logic;                                        -- writerc
+			E_ci_dataa                          : out std_logic_vector(31 downto 0);                    -- dataa
+			E_ci_datab                          : out std_logic_vector(31 downto 0);                    -- datab
+			E_ci_multi_clock                    : out std_logic;                                        -- clk
+			E_ci_multi_reset                    : out std_logic;                                        -- reset
+			E_ci_multi_reset_req                : out std_logic;                                        -- reset_req
+			W_ci_estatus                        : out std_logic;                                        -- estatus
+			W_ci_ipending                       : out std_logic_vector(31 downto 0)                     -- ipending
 		);
 	end component nios2_uc_nios2;
 
+	component fpoint_wrapper is
+		generic (
+			useDivider : integer := 0
+		);
+		port (
+			clk    : in  std_logic                     := 'X';             -- clk
+			clk_en : in  std_logic                     := 'X';             -- clk_en
+			dataa  : in  std_logic_vector(31 downto 0) := (others => 'X'); -- dataa
+			datab  : in  std_logic_vector(31 downto 0) := (others => 'X'); -- datab
+			n      : in  std_logic_vector(1 downto 0)  := (others => 'X'); -- n
+			reset  : in  std_logic                     := 'X';             -- reset
+			start  : in  std_logic                     := 'X';             -- start
+			done   : out std_logic;                                        -- done
+			result : out std_logic_vector(31 downto 0)                     -- result
+		);
+	end component fpoint_wrapper;
+
 	component nios2_uc_onchip_memory2 is
 		port (
 			clk        : in  std_logic                     := 'X';             -- clk
@@ -77,6 +134,16 @@ architecture rtl of nios2_uc is
 		);
 	end component nios2_uc_onchip_memory2;
 
+	component nios2_uc_pio_BUTTON is
+		port (
+			clk      : in  std_logic                     := 'X';             -- clk
+			reset_n  : in  std_logic                     := 'X';             -- reset_n
+			address  : in  std_logic_vector(1 downto 0)  := (others => 'X'); -- address
+			readdata : out std_logic_vector(31 downto 0);                    -- readdata
+			in_port  : in  std_logic_vector(7 downto 0)  := (others => 'X')  -- export
+		);
+	end component nios2_uc_pio_BUTTON;
+
 	component nios2_uc_pio_LED is
 		port (
 			clk        : in  std_logic                     := 'X';             -- clk
@@ -90,6 +157,170 @@ architecture rtl of nios2_uc is
 		);
 	end component nios2_uc_pio_LED;
 
+	component nios2_uc_pio_MATRIX is
+		port (
+			clk        : in  std_logic                     := 'X';             -- clk
+			reset_n    : in  std_logic                     := 'X';             -- reset_n
+			address    : in  std_logic_vector(1 downto 0)  := (others => 'X'); -- address
+			write_n    : in  std_logic                     := 'X';             -- write_n
+			writedata  : in  std_logic_vector(31 downto 0) := (others => 'X'); -- writedata
+			chipselect : in  std_logic                     := 'X';             -- chipselect
+			readdata   : out std_logic_vector(31 downto 0);                    -- readdata
+			out_port   : out std_logic_vector(19 downto 0)                     -- export
+		);
+	end component nios2_uc_pio_MATRIX;
+
+	component altera_customins_master_translator is
+		generic (
+			SHARED_COMB_AND_MULTI : integer := 0
+		);
+		port (
+			ci_slave_dataa            : in  std_logic_vector(31 downto 0) := (others => 'X'); -- dataa
+			ci_slave_datab            : in  std_logic_vector(31 downto 0) := (others => 'X'); -- datab
+			ci_slave_result           : out std_logic_vector(31 downto 0);                    -- result
+			ci_slave_n                : in  std_logic_vector(7 downto 0)  := (others => 'X'); -- n
+			ci_slave_readra           : in  std_logic                     := 'X';             -- readra
+			ci_slave_readrb           : in  std_logic                     := 'X';             -- readrb
+			ci_slave_writerc          : in  std_logic                     := 'X';             -- writerc
+			ci_slave_a                : in  std_logic_vector(4 downto 0)  := (others => 'X'); -- a
+			ci_slave_b                : in  std_logic_vector(4 downto 0)  := (others => 'X'); -- b
+			ci_slave_c                : in  std_logic_vector(4 downto 0)  := (others => 'X'); -- c
+			ci_slave_ipending         : in  std_logic_vector(31 downto 0) := (others => 'X'); -- ipending
+			ci_slave_estatus          : in  std_logic                     := 'X';             -- estatus
+			ci_slave_multi_clk        : in  std_logic                     := 'X';             -- clk
+			ci_slave_multi_reset      : in  std_logic                     := 'X';             -- reset
+			ci_slave_multi_clken      : in  std_logic                     := 'X';             -- clk_en
+			ci_slave_multi_reset_req  : in  std_logic                     := 'X';             -- reset_req
+			ci_slave_multi_start      : in  std_logic                     := 'X';             -- start
+			ci_slave_multi_done       : out std_logic;                                        -- done
+			comb_ci_master_dataa      : out std_logic_vector(31 downto 0);                    -- dataa
+			comb_ci_master_datab      : out std_logic_vector(31 downto 0);                    -- datab
+			comb_ci_master_result     : in  std_logic_vector(31 downto 0) := (others => 'X'); -- result
+			comb_ci_master_n          : out std_logic_vector(7 downto 0);                     -- n
+			comb_ci_master_readra     : out std_logic;                                        -- readra
+			comb_ci_master_readrb     : out std_logic;                                        -- readrb
+			comb_ci_master_writerc    : out std_logic;                                        -- writerc
+			comb_ci_master_a          : out std_logic_vector(4 downto 0);                     -- a
+			comb_ci_master_b          : out std_logic_vector(4 downto 0);                     -- b
+			comb_ci_master_c          : out std_logic_vector(4 downto 0);                     -- c
+			comb_ci_master_ipending   : out std_logic_vector(31 downto 0);                    -- ipending
+			comb_ci_master_estatus    : out std_logic;                                        -- estatus
+			multi_ci_master_clk       : out std_logic;                                        -- clk
+			multi_ci_master_reset     : out std_logic;                                        -- reset
+			multi_ci_master_clken     : out std_logic;                                        -- clk_en
+			multi_ci_master_reset_req : out std_logic;                                        -- reset_req
+			multi_ci_master_start     : out std_logic;                                        -- start
+			multi_ci_master_done      : in  std_logic                     := 'X';             -- done
+			multi_ci_master_dataa     : out std_logic_vector(31 downto 0);                    -- dataa
+			multi_ci_master_datab     : out std_logic_vector(31 downto 0);                    -- datab
+			multi_ci_master_result    : in  std_logic_vector(31 downto 0) := (others => 'X'); -- result
+			multi_ci_master_n         : out std_logic_vector(7 downto 0);                     -- n
+			multi_ci_master_readra    : out std_logic;                                        -- readra
+			multi_ci_master_readrb    : out std_logic;                                        -- readrb
+			multi_ci_master_writerc   : out std_logic;                                        -- writerc
+			multi_ci_master_a         : out std_logic_vector(4 downto 0);                     -- a
+			multi_ci_master_b         : out std_logic_vector(4 downto 0);                     -- b
+			multi_ci_master_c         : out std_logic_vector(4 downto 0);                     -- c
+			ci_slave_multi_dataa      : in  std_logic_vector(31 downto 0) := (others => 'X'); -- multi_dataa
+			ci_slave_multi_datab      : in  std_logic_vector(31 downto 0) := (others => 'X'); -- multi_datab
+			ci_slave_multi_result     : out std_logic_vector(31 downto 0);                    -- multi_result
+			ci_slave_multi_n          : in  std_logic_vector(7 downto 0)  := (others => 'X'); -- multi_n
+			ci_slave_multi_readra     : in  std_logic                     := 'X';             -- multi_readra
+			ci_slave_multi_readrb     : in  std_logic                     := 'X';             -- multi_readrb
+			ci_slave_multi_writerc    : in  std_logic                     := 'X';             -- multi_writerc
+			ci_slave_multi_a          : in  std_logic_vector(4 downto 0)  := (others => 'X'); -- multi_a
+			ci_slave_multi_b          : in  std_logic_vector(4 downto 0)  := (others => 'X'); -- multi_b
+			ci_slave_multi_c          : in  std_logic_vector(4 downto 0)  := (others => 'X')  -- multi_c
+		);
+	end component altera_customins_master_translator;
+
+	component nios2_uc_nios2_custom_instruction_master_multi_xconnect is
+		port (
+			ci_slave_dataa       : in  std_logic_vector(31 downto 0) := (others => 'X'); -- dataa
+			ci_slave_datab       : in  std_logic_vector(31 downto 0) := (others => 'X'); -- datab
+			ci_slave_result      : out std_logic_vector(31 downto 0);                    -- result
+			ci_slave_n           : in  std_logic_vector(7 downto 0)  := (others => 'X'); -- n
+			ci_slave_readra      : in  std_logic                     := 'X';             -- readra
+			ci_slave_readrb      : in  std_logic                     := 'X';             -- readrb
+			ci_slave_writerc     : in  std_logic                     := 'X';             -- writerc
+			ci_slave_a           : in  std_logic_vector(4 downto 0)  := (others => 'X'); -- a
+			ci_slave_b           : in  std_logic_vector(4 downto 0)  := (others => 'X'); -- b
+			ci_slave_c           : in  std_logic_vector(4 downto 0)  := (others => 'X'); -- c
+			ci_slave_ipending    : in  std_logic_vector(31 downto 0) := (others => 'X'); -- ipending
+			ci_slave_estatus     : in  std_logic                     := 'X';             -- estatus
+			ci_slave_clk         : in  std_logic                     := 'X';             -- clk
+			ci_slave_reset       : in  std_logic                     := 'X';             -- reset
+			ci_slave_clken       : in  std_logic                     := 'X';             -- clk_en
+			ci_slave_reset_req   : in  std_logic                     := 'X';             -- reset_req
+			ci_slave_start       : in  std_logic                     := 'X';             -- start
+			ci_slave_done        : out std_logic;                                        -- done
+			ci_master0_dataa     : out std_logic_vector(31 downto 0);                    -- dataa
+			ci_master0_datab     : out std_logic_vector(31 downto 0);                    -- datab
+			ci_master0_result    : in  std_logic_vector(31 downto 0) := (others => 'X'); -- result
+			ci_master0_n         : out std_logic_vector(7 downto 0);                     -- n
+			ci_master0_readra    : out std_logic;                                        -- readra
+			ci_master0_readrb    : out std_logic;                                        -- readrb
+			ci_master0_writerc   : out std_logic;                                        -- writerc
+			ci_master0_a         : out std_logic_vector(4 downto 0);                     -- a
+			ci_master0_b         : out std_logic_vector(4 downto 0);                     -- b
+			ci_master0_c         : out std_logic_vector(4 downto 0);                     -- c
+			ci_master0_ipending  : out std_logic_vector(31 downto 0);                    -- ipending
+			ci_master0_estatus   : out std_logic;                                        -- estatus
+			ci_master0_clk       : out std_logic;                                        -- clk
+			ci_master0_reset     : out std_logic;                                        -- reset
+			ci_master0_clken     : out std_logic;                                        -- clk_en
+			ci_master0_reset_req : out std_logic;                                        -- reset_req
+			ci_master0_start     : out std_logic;                                        -- start
+			ci_master0_done      : in  std_logic                     := 'X'              -- done
+		);
+	end component nios2_uc_nios2_custom_instruction_master_multi_xconnect;
+
+	component altera_customins_slave_translator is
+		generic (
+			N_WIDTH          : integer := 8;
+			USE_DONE         : integer := 1;
+			NUM_FIXED_CYCLES : integer := 2
+		);
+		port (
+			ci_slave_dataa      : in  std_logic_vector(31 downto 0) := (others => 'X'); -- dataa
+			ci_slave_datab      : in  std_logic_vector(31 downto 0) := (others => 'X'); -- datab
+			ci_slave_result     : out std_logic_vector(31 downto 0);                    -- result
+			ci_slave_n          : in  std_logic_vector(7 downto 0)  := (others => 'X'); -- n
+			ci_slave_readra     : in  std_logic                     := 'X';             -- readra
+			ci_slave_readrb     : in  std_logic                     := 'X';             -- readrb
+			ci_slave_writerc    : in  std_logic                     := 'X';             -- writerc
+			ci_slave_a          : in  std_logic_vector(4 downto 0)  := (others => 'X'); -- a
+			ci_slave_b          : in  std_logic_vector(4 downto 0)  := (others => 'X'); -- b
+			ci_slave_c          : in  std_logic_vector(4 downto 0)  := (others => 'X'); -- c
+			ci_slave_ipending   : in  std_logic_vector(31 downto 0) := (others => 'X'); -- ipending
+			ci_slave_estatus    : in  std_logic                     := 'X';             -- estatus
+			ci_slave_clk        : in  std_logic                     := 'X';             -- clk
+			ci_slave_clken      : in  std_logic                     := 'X';             -- clk_en
+			ci_slave_reset_req  : in  std_logic                     := 'X';             -- reset_req
+			ci_slave_reset      : in  std_logic                     := 'X';             -- reset
+			ci_slave_start      : in  std_logic                     := 'X';             -- start
+			ci_slave_done       : out std_logic;                                        -- done
+			ci_master_dataa     : out std_logic_vector(31 downto 0);                    -- dataa
+			ci_master_datab     : out std_logic_vector(31 downto 0);                    -- datab
+			ci_master_result    : in  std_logic_vector(31 downto 0) := (others => 'X'); -- result
+			ci_master_n         : out std_logic_vector(1 downto 0);                     -- n
+			ci_master_clk       : out std_logic;                                        -- clk
+			ci_master_clken     : out std_logic;                                        -- clk_en
+			ci_master_reset     : out std_logic;                                        -- reset
+			ci_master_start     : out std_logic;                                        -- start
+			ci_master_done      : in  std_logic                     := 'X';             -- done
+			ci_master_readra    : out std_logic;                                        -- readra
+			ci_master_readrb    : out std_logic;                                        -- readrb
+			ci_master_writerc   : out std_logic;                                        -- writerc
+			ci_master_a         : out std_logic_vector(4 downto 0);                     -- a
+			ci_master_b         : out std_logic_vector(4 downto 0);                     -- b
+			ci_master_c         : out std_logic_vector(4 downto 0);                     -- c
+			ci_master_ipending  : out std_logic_vector(31 downto 0);                    -- ipending
+			ci_master_estatus   : out std_logic;                                        -- estatus
+			ci_master_reset_req : out std_logic                                         -- reset_req
+		);
+	end component altera_customins_slave_translator;
+
 	component nios2_uc_mm_interconnect_0 is
 		port (
 			clk_50_clk_clk                          : in  std_logic                     := 'X';             -- clk
@@ -113,6 +344,12 @@ architecture rtl of nios2_uc is
 			jtag_uart_avalon_jtag_slave_writedata   : out std_logic_vector(31 downto 0);                    -- writedata
 			jtag_uart_avalon_jtag_slave_waitrequest : in  std_logic                     := 'X';             -- waitrequest
 			jtag_uart_avalon_jtag_slave_chipselect  : out std_logic;                                        -- chipselect
+			lcd_16207_control_slave_address         : out std_logic_vector(1 downto 0);                     -- address
+			lcd_16207_control_slave_write           : out std_logic;                                        -- write
+			lcd_16207_control_slave_read            : out std_logic;                                        -- read
+			lcd_16207_control_slave_readdata        : in  std_logic_vector(7 downto 0)  := (others => 'X'); -- readdata
+			lcd_16207_control_slave_writedata       : out std_logic_vector(7 downto 0);                     -- writedata
+			lcd_16207_control_slave_begintransfer   : out std_logic;                                        -- begintransfer
 			nios2_debug_mem_slave_address           : out std_logic_vector(8 downto 0);                     -- address
 			nios2_debug_mem_slave_write             : out std_logic;                                        -- write
 			nios2_debug_mem_slave_read              : out std_logic;                                        -- read
@@ -128,11 +365,18 @@ architecture rtl of nios2_uc is
 			onchip_memory2_s1_byteenable            : out std_logic_vector(3 downto 0);                     -- byteenable
 			onchip_memory2_s1_chipselect            : out std_logic;                                        -- chipselect
 			onchip_memory2_s1_clken                 : out std_logic;                                        -- clken
+			pio_BUTTON_s1_address                   : out std_logic_vector(1 downto 0);                     -- address
+			pio_BUTTON_s1_readdata                  : in  std_logic_vector(31 downto 0) := (others => 'X'); -- readdata
 			pio_LED_s1_address                      : out std_logic_vector(1 downto 0);                     -- address
 			pio_LED_s1_write                        : out std_logic;                                        -- write
 			pio_LED_s1_readdata                     : in  std_logic_vector(31 downto 0) := (others => 'X'); -- readdata
 			pio_LED_s1_writedata                    : out std_logic_vector(31 downto 0);                    -- writedata
-			pio_LED_s1_chipselect                   : out std_logic                                         -- chipselect
+			pio_LED_s1_chipselect                   : out std_logic;                                        -- chipselect
+			pio_MATRIX_s1_address                   : out std_logic_vector(1 downto 0);                     -- address
+			pio_MATRIX_s1_write                     : out std_logic;                                        -- write
+			pio_MATRIX_s1_readdata                  : in  std_logic_vector(31 downto 0) := (others => 'X'); -- readdata
+			pio_MATRIX_s1_writedata                 : out std_logic_vector(31 downto 0);                    -- writedata
+			pio_MATRIX_s1_chipselect                : out std_logic                                         -- chipselect
 		);
 	end component nios2_uc_mm_interconnect_0;
 
@@ -211,55 +455,130 @@ architecture rtl of nios2_uc is
 		);
 	end component altera_reset_controller;
 
-	signal nios2_data_master_readdata                                    : std_logic_vector(31 downto 0); -- mm_interconnect_0:nios2_data_master_readdata -> nios2:d_readdata
-	signal nios2_data_master_waitrequest                                 : std_logic;                     -- mm_interconnect_0:nios2_data_master_waitrequest -> nios2:d_waitrequest
-	signal nios2_data_master_debugaccess                                 : std_logic;                     -- nios2:debug_mem_slave_debugaccess_to_roms -> mm_interconnect_0:nios2_data_master_debugaccess
-	signal nios2_data_master_address                                     : std_logic_vector(19 downto 0); -- nios2:d_address -> mm_interconnect_0:nios2_data_master_address
-	signal nios2_data_master_byteenable                                  : std_logic_vector(3 downto 0);  -- nios2:d_byteenable -> mm_interconnect_0:nios2_data_master_byteenable
-	signal nios2_data_master_read                                        : std_logic;                     -- nios2:d_read -> mm_interconnect_0:nios2_data_master_read
-	signal nios2_data_master_write                                       : std_logic;                     -- nios2:d_write -> mm_interconnect_0:nios2_data_master_write
-	signal nios2_data_master_writedata                                   : std_logic_vector(31 downto 0); -- nios2:d_writedata -> mm_interconnect_0:nios2_data_master_writedata
-	signal nios2_instruction_master_readdata                             : std_logic_vector(31 downto 0); -- mm_interconnect_0:nios2_instruction_master_readdata -> nios2:i_readdata
-	signal nios2_instruction_master_waitrequest                          : std_logic;                     -- mm_interconnect_0:nios2_instruction_master_waitrequest -> nios2:i_waitrequest
-	signal nios2_instruction_master_address                              : std_logic_vector(19 downto 0); -- nios2:i_address -> mm_interconnect_0:nios2_instruction_master_address
-	signal nios2_instruction_master_read                                 : std_logic;                     -- nios2:i_read -> mm_interconnect_0:nios2_instruction_master_read
-	signal mm_interconnect_0_jtag_uart_avalon_jtag_slave_chipselect      : std_logic;                     -- mm_interconnect_0:jtag_uart_avalon_jtag_slave_chipselect -> jtag_uart:av_chipselect
-	signal mm_interconnect_0_jtag_uart_avalon_jtag_slave_readdata        : std_logic_vector(31 downto 0); -- jtag_uart:av_readdata -> mm_interconnect_0:jtag_uart_avalon_jtag_slave_readdata
-	signal mm_interconnect_0_jtag_uart_avalon_jtag_slave_waitrequest     : std_logic;                     -- jtag_uart:av_waitrequest -> mm_interconnect_0:jtag_uart_avalon_jtag_slave_waitrequest
-	signal mm_interconnect_0_jtag_uart_avalon_jtag_slave_address         : std_logic_vector(0 downto 0);  -- mm_interconnect_0:jtag_uart_avalon_jtag_slave_address -> jtag_uart:av_address
-	signal mm_interconnect_0_jtag_uart_avalon_jtag_slave_read            : std_logic;                     -- mm_interconnect_0:jtag_uart_avalon_jtag_slave_read -> mm_interconnect_0_jtag_uart_avalon_jtag_slave_read:in
-	signal mm_interconnect_0_jtag_uart_avalon_jtag_slave_write           : std_logic;                     -- mm_interconnect_0:jtag_uart_avalon_jtag_slave_write -> mm_interconnect_0_jtag_uart_avalon_jtag_slave_write:in
-	signal mm_interconnect_0_jtag_uart_avalon_jtag_slave_writedata       : std_logic_vector(31 downto 0); -- mm_interconnect_0:jtag_uart_avalon_jtag_slave_writedata -> jtag_uart:av_writedata
-	signal mm_interconnect_0_nios2_debug_mem_slave_readdata              : std_logic_vector(31 downto 0); -- nios2:debug_mem_slave_readdata -> mm_interconnect_0:nios2_debug_mem_slave_readdata
-	signal mm_interconnect_0_nios2_debug_mem_slave_waitrequest           : std_logic;                     -- nios2:debug_mem_slave_waitrequest -> mm_interconnect_0:nios2_debug_mem_slave_waitrequest
-	signal mm_interconnect_0_nios2_debug_mem_slave_debugaccess           : std_logic;                     -- mm_interconnect_0:nios2_debug_mem_slave_debugaccess -> nios2:debug_mem_slave_debugaccess
-	signal mm_interconnect_0_nios2_debug_mem_slave_address               : std_logic_vector(8 downto 0);  -- mm_interconnect_0:nios2_debug_mem_slave_address -> nios2:debug_mem_slave_address
-	signal mm_interconnect_0_nios2_debug_mem_slave_read                  : std_logic;                     -- mm_interconnect_0:nios2_debug_mem_slave_read -> nios2:debug_mem_slave_read
-	signal mm_interconnect_0_nios2_debug_mem_slave_byteenable            : std_logic_vector(3 downto 0);  -- mm_interconnect_0:nios2_debug_mem_slave_byteenable -> nios2:debug_mem_slave_byteenable
-	signal mm_interconnect_0_nios2_debug_mem_slave_write                 : std_logic;                     -- mm_interconnect_0:nios2_debug_mem_slave_write -> nios2:debug_mem_slave_write
-	signal mm_interconnect_0_nios2_debug_mem_slave_writedata             : std_logic_vector(31 downto 0); -- mm_interconnect_0:nios2_debug_mem_slave_writedata -> nios2:debug_mem_slave_writedata
-	signal mm_interconnect_0_onchip_memory2_s1_chipselect                : std_logic;                     -- mm_interconnect_0:onchip_memory2_s1_chipselect -> onchip_memory2:chipselect
-	signal mm_interconnect_0_onchip_memory2_s1_readdata                  : std_logic_vector(31 downto 0); -- onchip_memory2:readdata -> mm_interconnect_0:onchip_memory2_s1_readdata
-	signal mm_interconnect_0_onchip_memory2_s1_address                   : std_logic_vector(15 downto 0); -- mm_interconnect_0:onchip_memory2_s1_address -> onchip_memory2:address
-	signal mm_interconnect_0_onchip_memory2_s1_byteenable                : std_logic_vector(3 downto 0);  -- mm_interconnect_0:onchip_memory2_s1_byteenable -> onchip_memory2:byteenable
-	signal mm_interconnect_0_onchip_memory2_s1_write                     : std_logic;                     -- mm_interconnect_0:onchip_memory2_s1_write -> onchip_memory2:write
-	signal mm_interconnect_0_onchip_memory2_s1_writedata                 : std_logic_vector(31 downto 0); -- mm_interconnect_0:onchip_memory2_s1_writedata -> onchip_memory2:writedata
-	signal mm_interconnect_0_onchip_memory2_s1_clken                     : std_logic;                     -- mm_interconnect_0:onchip_memory2_s1_clken -> onchip_memory2:clken
-	signal mm_interconnect_0_pio_led_s1_chipselect                       : std_logic;                     -- mm_interconnect_0:pio_LED_s1_chipselect -> pio_LED:chipselect
-	signal mm_interconnect_0_pio_led_s1_readdata                         : std_logic_vector(31 downto 0); -- pio_LED:readdata -> mm_interconnect_0:pio_LED_s1_readdata
-	signal mm_interconnect_0_pio_led_s1_address                          : std_logic_vector(1 downto 0);  -- mm_interconnect_0:pio_LED_s1_address -> pio_LED:address
-	signal mm_interconnect_0_pio_led_s1_write                            : std_logic;                     -- mm_interconnect_0:pio_LED_s1_write -> mm_interconnect_0_pio_led_s1_write:in
-	signal mm_interconnect_0_pio_led_s1_writedata                        : std_logic_vector(31 downto 0); -- mm_interconnect_0:pio_LED_s1_writedata -> pio_LED:writedata
-	signal irq_mapper_receiver0_irq                                      : std_logic;                     -- jtag_uart:av_irq -> irq_mapper:receiver0_irq
-	signal nios2_irq_irq                                                 : std_logic_vector(31 downto 0); -- irq_mapper:sender_irq -> nios2:irq
-	signal rst_controller_reset_out_reset                                : std_logic;                     -- rst_controller:reset_out -> [irq_mapper:reset, mm_interconnect_0:nios2_reset_reset_bridge_in_reset_reset, onchip_memory2:reset, rst_controller_reset_out_reset:in, rst_translator:in_reset]
-	signal rst_controller_reset_out_reset_req                            : std_logic;                     -- rst_controller:reset_req -> [nios2:reset_req, onchip_memory2:reset_req, rst_translator:reset_req_in]
-	signal nios2_debug_reset_request_reset                               : std_logic;                     -- nios2:debug_reset_request -> rst_controller:reset_in1
-	signal reset_reset_n_ports_inv                                       : std_logic;                     -- reset_reset_n:inv -> rst_controller:reset_in0
-	signal mm_interconnect_0_jtag_uart_avalon_jtag_slave_read_ports_inv  : std_logic;                     -- mm_interconnect_0_jtag_uart_avalon_jtag_slave_read:inv -> jtag_uart:av_read_n
-	signal mm_interconnect_0_jtag_uart_avalon_jtag_slave_write_ports_inv : std_logic;                     -- mm_interconnect_0_jtag_uart_avalon_jtag_slave_write:inv -> jtag_uart:av_write_n
-	signal mm_interconnect_0_pio_led_s1_write_ports_inv                  : std_logic;                     -- mm_interconnect_0_pio_led_s1_write:inv -> pio_LED:write_n
-	signal rst_controller_reset_out_reset_ports_inv                      : std_logic;                     -- rst_controller_reset_out_reset:inv -> [jtag_uart:rst_n, nios2:reset_n, pio_LED:reset_n]
+	signal nios2_custom_instruction_master_readra                                   : std_logic;                     -- nios2:D_ci_readra -> nios2_custom_instruction_master_translator:ci_slave_readra
+	signal nios2_custom_instruction_master_a                                        : std_logic_vector(4 downto 0);  -- nios2:D_ci_a -> nios2_custom_instruction_master_translator:ci_slave_a
+	signal nios2_custom_instruction_master_b                                        : std_logic_vector(4 downto 0);  -- nios2:D_ci_b -> nios2_custom_instruction_master_translator:ci_slave_b
+	signal nios2_custom_instruction_master_c                                        : std_logic_vector(4 downto 0);  -- nios2:D_ci_c -> nios2_custom_instruction_master_translator:ci_slave_c
+	signal nios2_custom_instruction_master_readrb                                   : std_logic;                     -- nios2:D_ci_readrb -> nios2_custom_instruction_master_translator:ci_slave_readrb
+	signal nios2_custom_instruction_master_clk                                      : std_logic;                     -- nios2:E_ci_multi_clock -> nios2_custom_instruction_master_translator:ci_slave_multi_clk
+	signal nios2_custom_instruction_master_ipending                                 : std_logic_vector(31 downto 0); -- nios2:W_ci_ipending -> nios2_custom_instruction_master_translator:ci_slave_ipending
+	signal nios2_custom_instruction_master_start                                    : std_logic;                     -- nios2:E_ci_multi_start -> nios2_custom_instruction_master_translator:ci_slave_multi_start
+	signal nios2_custom_instruction_master_reset_req                                : std_logic;                     -- nios2:E_ci_multi_reset_req -> nios2_custom_instruction_master_translator:ci_slave_multi_reset_req
+	signal nios2_custom_instruction_master_done                                     : std_logic;                     -- nios2_custom_instruction_master_translator:ci_slave_multi_done -> nios2:E_ci_multi_done
+	signal nios2_custom_instruction_master_n                                        : std_logic_vector(7 downto 0);  -- nios2:D_ci_n -> nios2_custom_instruction_master_translator:ci_slave_n
+	signal nios2_custom_instruction_master_result                                   : std_logic_vector(31 downto 0); -- nios2_custom_instruction_master_translator:ci_slave_result -> nios2:E_ci_result
+	signal nios2_custom_instruction_master_estatus                                  : std_logic;                     -- nios2:W_ci_estatus -> nios2_custom_instruction_master_translator:ci_slave_estatus
+	signal nios2_custom_instruction_master_clk_en                                   : std_logic;                     -- nios2:E_ci_multi_clk_en -> nios2_custom_instruction_master_translator:ci_slave_multi_clken
+	signal nios2_custom_instruction_master_datab                                    : std_logic_vector(31 downto 0); -- nios2:E_ci_datab -> nios2_custom_instruction_master_translator:ci_slave_datab
+	signal nios2_custom_instruction_master_dataa                                    : std_logic_vector(31 downto 0); -- nios2:E_ci_dataa -> nios2_custom_instruction_master_translator:ci_slave_dataa
+	signal nios2_custom_instruction_master_reset                                    : std_logic;                     -- nios2:E_ci_multi_reset -> nios2_custom_instruction_master_translator:ci_slave_multi_reset
+	signal nios2_custom_instruction_master_writerc                                  : std_logic;                     -- nios2:D_ci_writerc -> nios2_custom_instruction_master_translator:ci_slave_writerc
+	signal nios2_custom_instruction_master_translator_multi_ci_master_readra        : std_logic;                     -- nios2_custom_instruction_master_translator:multi_ci_master_readra -> nios2_custom_instruction_master_multi_xconnect:ci_slave_readra
+	signal nios2_custom_instruction_master_translator_multi_ci_master_a             : std_logic_vector(4 downto 0);  -- nios2_custom_instruction_master_translator:multi_ci_master_a -> nios2_custom_instruction_master_multi_xconnect:ci_slave_a
+	signal nios2_custom_instruction_master_translator_multi_ci_master_b             : std_logic_vector(4 downto 0);  -- nios2_custom_instruction_master_translator:multi_ci_master_b -> nios2_custom_instruction_master_multi_xconnect:ci_slave_b
+	signal nios2_custom_instruction_master_translator_multi_ci_master_clk           : std_logic;                     -- nios2_custom_instruction_master_translator:multi_ci_master_clk -> nios2_custom_instruction_master_multi_xconnect:ci_slave_clk
+	signal nios2_custom_instruction_master_translator_multi_ci_master_readrb        : std_logic;                     -- nios2_custom_instruction_master_translator:multi_ci_master_readrb -> nios2_custom_instruction_master_multi_xconnect:ci_slave_readrb
+	signal nios2_custom_instruction_master_translator_multi_ci_master_c             : std_logic_vector(4 downto 0);  -- nios2_custom_instruction_master_translator:multi_ci_master_c -> nios2_custom_instruction_master_multi_xconnect:ci_slave_c
+	signal nios2_custom_instruction_master_translator_multi_ci_master_start         : std_logic;                     -- nios2_custom_instruction_master_translator:multi_ci_master_start -> nios2_custom_instruction_master_multi_xconnect:ci_slave_start
+	signal nios2_custom_instruction_master_translator_multi_ci_master_reset_req     : std_logic;                     -- nios2_custom_instruction_master_translator:multi_ci_master_reset_req -> nios2_custom_instruction_master_multi_xconnect:ci_slave_reset_req
+	signal nios2_custom_instruction_master_translator_multi_ci_master_done          : std_logic;                     -- nios2_custom_instruction_master_multi_xconnect:ci_slave_done -> nios2_custom_instruction_master_translator:multi_ci_master_done
+	signal nios2_custom_instruction_master_translator_multi_ci_master_n             : std_logic_vector(7 downto 0);  -- nios2_custom_instruction_master_translator:multi_ci_master_n -> nios2_custom_instruction_master_multi_xconnect:ci_slave_n
+	signal nios2_custom_instruction_master_translator_multi_ci_master_result        : std_logic_vector(31 downto 0); -- nios2_custom_instruction_master_multi_xconnect:ci_slave_result -> nios2_custom_instruction_master_translator:multi_ci_master_result
+	signal nios2_custom_instruction_master_translator_multi_ci_master_clk_en        : std_logic;                     -- nios2_custom_instruction_master_translator:multi_ci_master_clken -> nios2_custom_instruction_master_multi_xconnect:ci_slave_clken
+	signal nios2_custom_instruction_master_translator_multi_ci_master_datab         : std_logic_vector(31 downto 0); -- nios2_custom_instruction_master_translator:multi_ci_master_datab -> nios2_custom_instruction_master_multi_xconnect:ci_slave_datab
+	signal nios2_custom_instruction_master_translator_multi_ci_master_dataa         : std_logic_vector(31 downto 0); -- nios2_custom_instruction_master_translator:multi_ci_master_dataa -> nios2_custom_instruction_master_multi_xconnect:ci_slave_dataa
+	signal nios2_custom_instruction_master_translator_multi_ci_master_reset         : std_logic;                     -- nios2_custom_instruction_master_translator:multi_ci_master_reset -> nios2_custom_instruction_master_multi_xconnect:ci_slave_reset
+	signal nios2_custom_instruction_master_translator_multi_ci_master_writerc       : std_logic;                     -- nios2_custom_instruction_master_translator:multi_ci_master_writerc -> nios2_custom_instruction_master_multi_xconnect:ci_slave_writerc
+	signal nios2_custom_instruction_master_multi_xconnect_ci_master0_readra         : std_logic;                     -- nios2_custom_instruction_master_multi_xconnect:ci_master0_readra -> nios2_custom_instruction_master_multi_slave_translator0:ci_slave_readra
+	signal nios2_custom_instruction_master_multi_xconnect_ci_master0_a              : std_logic_vector(4 downto 0);  -- nios2_custom_instruction_master_multi_xconnect:ci_master0_a -> nios2_custom_instruction_master_multi_slave_translator0:ci_slave_a
+	signal nios2_custom_instruction_master_multi_xconnect_ci_master0_b              : std_logic_vector(4 downto 0);  -- nios2_custom_instruction_master_multi_xconnect:ci_master0_b -> nios2_custom_instruction_master_multi_slave_translator0:ci_slave_b
+	signal nios2_custom_instruction_master_multi_xconnect_ci_master0_readrb         : std_logic;                     -- nios2_custom_instruction_master_multi_xconnect:ci_master0_readrb -> nios2_custom_instruction_master_multi_slave_translator0:ci_slave_readrb
+	signal nios2_custom_instruction_master_multi_xconnect_ci_master0_c              : std_logic_vector(4 downto 0);  -- nios2_custom_instruction_master_multi_xconnect:ci_master0_c -> nios2_custom_instruction_master_multi_slave_translator0:ci_slave_c
+	signal nios2_custom_instruction_master_multi_xconnect_ci_master0_clk            : std_logic;                     -- nios2_custom_instruction_master_multi_xconnect:ci_master0_clk -> nios2_custom_instruction_master_multi_slave_translator0:ci_slave_clk
+	signal nios2_custom_instruction_master_multi_xconnect_ci_master0_ipending       : std_logic_vector(31 downto 0); -- nios2_custom_instruction_master_multi_xconnect:ci_master0_ipending -> nios2_custom_instruction_master_multi_slave_translator0:ci_slave_ipending
+	signal nios2_custom_instruction_master_multi_xconnect_ci_master0_start          : std_logic;                     -- nios2_custom_instruction_master_multi_xconnect:ci_master0_start -> nios2_custom_instruction_master_multi_slave_translator0:ci_slave_start
+	signal nios2_custom_instruction_master_multi_xconnect_ci_master0_reset_req      : std_logic;                     -- nios2_custom_instruction_master_multi_xconnect:ci_master0_reset_req -> nios2_custom_instruction_master_multi_slave_translator0:ci_slave_reset_req
+	signal nios2_custom_instruction_master_multi_xconnect_ci_master0_done           : std_logic;                     -- nios2_custom_instruction_master_multi_slave_translator0:ci_slave_done -> nios2_custom_instruction_master_multi_xconnect:ci_master0_done
+	signal nios2_custom_instruction_master_multi_xconnect_ci_master0_n              : std_logic_vector(7 downto 0);  -- nios2_custom_instruction_master_multi_xconnect:ci_master0_n -> nios2_custom_instruction_master_multi_slave_translator0:ci_slave_n
+	signal nios2_custom_instruction_master_multi_xconnect_ci_master0_result         : std_logic_vector(31 downto 0); -- nios2_custom_instruction_master_multi_slave_translator0:ci_slave_result -> nios2_custom_instruction_master_multi_xconnect:ci_master0_result
+	signal nios2_custom_instruction_master_multi_xconnect_ci_master0_estatus        : std_logic;                     -- nios2_custom_instruction_master_multi_xconnect:ci_master0_estatus -> nios2_custom_instruction_master_multi_slave_translator0:ci_slave_estatus
+	signal nios2_custom_instruction_master_multi_xconnect_ci_master0_clk_en         : std_logic;                     -- nios2_custom_instruction_master_multi_xconnect:ci_master0_clken -> nios2_custom_instruction_master_multi_slave_translator0:ci_slave_clken
+	signal nios2_custom_instruction_master_multi_xconnect_ci_master0_datab          : std_logic_vector(31 downto 0); -- nios2_custom_instruction_master_multi_xconnect:ci_master0_datab -> nios2_custom_instruction_master_multi_slave_translator0:ci_slave_datab
+	signal nios2_custom_instruction_master_multi_xconnect_ci_master0_dataa          : std_logic_vector(31 downto 0); -- nios2_custom_instruction_master_multi_xconnect:ci_master0_dataa -> nios2_custom_instruction_master_multi_slave_translator0:ci_slave_dataa
+	signal nios2_custom_instruction_master_multi_xconnect_ci_master0_reset          : std_logic;                     -- nios2_custom_instruction_master_multi_xconnect:ci_master0_reset -> nios2_custom_instruction_master_multi_slave_translator0:ci_slave_reset
+	signal nios2_custom_instruction_master_multi_xconnect_ci_master0_writerc        : std_logic;                     -- nios2_custom_instruction_master_multi_xconnect:ci_master0_writerc -> nios2_custom_instruction_master_multi_slave_translator0:ci_slave_writerc
+	signal nios2_custom_instruction_master_multi_slave_translator0_ci_master_result : std_logic_vector(31 downto 0); -- nios_custom_instr_floating_point_0:result -> nios2_custom_instruction_master_multi_slave_translator0:ci_master_result
+	signal nios2_custom_instruction_master_multi_slave_translator0_ci_master_clk    : std_logic;                     -- nios2_custom_instruction_master_multi_slave_translator0:ci_master_clk -> nios_custom_instr_floating_point_0:clk
+	signal nios2_custom_instruction_master_multi_slave_translator0_ci_master_clk_en : std_logic;                     -- nios2_custom_instruction_master_multi_slave_translator0:ci_master_clken -> nios_custom_instr_floating_point_0:clk_en
+	signal nios2_custom_instruction_master_multi_slave_translator0_ci_master_datab  : std_logic_vector(31 downto 0); -- nios2_custom_instruction_master_multi_slave_translator0:ci_master_datab -> nios_custom_instr_floating_point_0:datab
+	signal nios2_custom_instruction_master_multi_slave_translator0_ci_master_dataa  : std_logic_vector(31 downto 0); -- nios2_custom_instruction_master_multi_slave_translator0:ci_master_dataa -> nios_custom_instr_floating_point_0:dataa
+	signal nios2_custom_instruction_master_multi_slave_translator0_ci_master_start  : std_logic;                     -- nios2_custom_instruction_master_multi_slave_translator0:ci_master_start -> nios_custom_instr_floating_point_0:start
+	signal nios2_custom_instruction_master_multi_slave_translator0_ci_master_reset  : std_logic;                     -- nios2_custom_instruction_master_multi_slave_translator0:ci_master_reset -> nios_custom_instr_floating_point_0:reset
+	signal nios2_custom_instruction_master_multi_slave_translator0_ci_master_done   : std_logic;                     -- nios_custom_instr_floating_point_0:done -> nios2_custom_instruction_master_multi_slave_translator0:ci_master_done
+	signal nios2_custom_instruction_master_multi_slave_translator0_ci_master_n      : std_logic_vector(1 downto 0);  -- nios2_custom_instruction_master_multi_slave_translator0:ci_master_n -> nios_custom_instr_floating_point_0:n
+	signal nios2_data_master_readdata                                               : std_logic_vector(31 downto 0); -- mm_interconnect_0:nios2_data_master_readdata -> nios2:d_readdata
+	signal nios2_data_master_waitrequest                                            : std_logic;                     -- mm_interconnect_0:nios2_data_master_waitrequest -> nios2:d_waitrequest
+	signal nios2_data_master_debugaccess                                            : std_logic;                     -- nios2:debug_mem_slave_debugaccess_to_roms -> mm_interconnect_0:nios2_data_master_debugaccess
+	signal nios2_data_master_address                                                : std_logic_vector(19 downto 0); -- nios2:d_address -> mm_interconnect_0:nios2_data_master_address
+	signal nios2_data_master_byteenable                                             : std_logic_vector(3 downto 0);  -- nios2:d_byteenable -> mm_interconnect_0:nios2_data_master_byteenable
+	signal nios2_data_master_read                                                   : std_logic;                     -- nios2:d_read -> mm_interconnect_0:nios2_data_master_read
+	signal nios2_data_master_write                                                  : std_logic;                     -- nios2:d_write -> mm_interconnect_0:nios2_data_master_write
+	signal nios2_data_master_writedata                                              : std_logic_vector(31 downto 0); -- nios2:d_writedata -> mm_interconnect_0:nios2_data_master_writedata
+	signal nios2_instruction_master_readdata                                        : std_logic_vector(31 downto 0); -- mm_interconnect_0:nios2_instruction_master_readdata -> nios2:i_readdata
+	signal nios2_instruction_master_waitrequest                                     : std_logic;                     -- mm_interconnect_0:nios2_instruction_master_waitrequest -> nios2:i_waitrequest
+	signal nios2_instruction_master_address                                         : std_logic_vector(19 downto 0); -- nios2:i_address -> mm_interconnect_0:nios2_instruction_master_address
+	signal nios2_instruction_master_read                                            : std_logic;                     -- nios2:i_read -> mm_interconnect_0:nios2_instruction_master_read
+	signal mm_interconnect_0_jtag_uart_avalon_jtag_slave_chipselect                 : std_logic;                     -- mm_interconnect_0:jtag_uart_avalon_jtag_slave_chipselect -> jtag_uart:av_chipselect
+	signal mm_interconnect_0_jtag_uart_avalon_jtag_slave_readdata                   : std_logic_vector(31 downto 0); -- jtag_uart:av_readdata -> mm_interconnect_0:jtag_uart_avalon_jtag_slave_readdata
+	signal mm_interconnect_0_jtag_uart_avalon_jtag_slave_waitrequest                : std_logic;                     -- jtag_uart:av_waitrequest -> mm_interconnect_0:jtag_uart_avalon_jtag_slave_waitrequest
+	signal mm_interconnect_0_jtag_uart_avalon_jtag_slave_address                    : std_logic_vector(0 downto 0);  -- mm_interconnect_0:jtag_uart_avalon_jtag_slave_address -> jtag_uart:av_address
+	signal mm_interconnect_0_jtag_uart_avalon_jtag_slave_read                       : std_logic;                     -- mm_interconnect_0:jtag_uart_avalon_jtag_slave_read -> mm_interconnect_0_jtag_uart_avalon_jtag_slave_read:in
+	signal mm_interconnect_0_jtag_uart_avalon_jtag_slave_write                      : std_logic;                     -- mm_interconnect_0:jtag_uart_avalon_jtag_slave_write -> mm_interconnect_0_jtag_uart_avalon_jtag_slave_write:in
+	signal mm_interconnect_0_jtag_uart_avalon_jtag_slave_writedata                  : std_logic_vector(31 downto 0); -- mm_interconnect_0:jtag_uart_avalon_jtag_slave_writedata -> jtag_uart:av_writedata
+	signal mm_interconnect_0_lcd_16207_control_slave_readdata                       : std_logic_vector(7 downto 0);  -- lcd_16207:readdata -> mm_interconnect_0:lcd_16207_control_slave_readdata
+	signal mm_interconnect_0_lcd_16207_control_slave_address                        : std_logic_vector(1 downto 0);  -- mm_interconnect_0:lcd_16207_control_slave_address -> lcd_16207:address
+	signal mm_interconnect_0_lcd_16207_control_slave_read                           : std_logic;                     -- mm_interconnect_0:lcd_16207_control_slave_read -> lcd_16207:read
+	signal mm_interconnect_0_lcd_16207_control_slave_begintransfer                  : std_logic;                     -- mm_interconnect_0:lcd_16207_control_slave_begintransfer -> lcd_16207:begintransfer
+	signal mm_interconnect_0_lcd_16207_control_slave_write                          : std_logic;                     -- mm_interconnect_0:lcd_16207_control_slave_write -> lcd_16207:write
+	signal mm_interconnect_0_lcd_16207_control_slave_writedata                      : std_logic_vector(7 downto 0);  -- mm_interconnect_0:lcd_16207_control_slave_writedata -> lcd_16207:writedata
+	signal mm_interconnect_0_nios2_debug_mem_slave_readdata                         : std_logic_vector(31 downto 0); -- nios2:debug_mem_slave_readdata -> mm_interconnect_0:nios2_debug_mem_slave_readdata
+	signal mm_interconnect_0_nios2_debug_mem_slave_waitrequest                      : std_logic;                     -- nios2:debug_mem_slave_waitrequest -> mm_interconnect_0:nios2_debug_mem_slave_waitrequest
+	signal mm_interconnect_0_nios2_debug_mem_slave_debugaccess                      : std_logic;                     -- mm_interconnect_0:nios2_debug_mem_slave_debugaccess -> nios2:debug_mem_slave_debugaccess
+	signal mm_interconnect_0_nios2_debug_mem_slave_address                          : std_logic_vector(8 downto 0);  -- mm_interconnect_0:nios2_debug_mem_slave_address -> nios2:debug_mem_slave_address
+	signal mm_interconnect_0_nios2_debug_mem_slave_read                             : std_logic;                     -- mm_interconnect_0:nios2_debug_mem_slave_read -> nios2:debug_mem_slave_read
+	signal mm_interconnect_0_nios2_debug_mem_slave_byteenable                       : std_logic_vector(3 downto 0);  -- mm_interconnect_0:nios2_debug_mem_slave_byteenable -> nios2:debug_mem_slave_byteenable
+	signal mm_interconnect_0_nios2_debug_mem_slave_write                            : std_logic;                     -- mm_interconnect_0:nios2_debug_mem_slave_write -> nios2:debug_mem_slave_write
+	signal mm_interconnect_0_nios2_debug_mem_slave_writedata                        : std_logic_vector(31 downto 0); -- mm_interconnect_0:nios2_debug_mem_slave_writedata -> nios2:debug_mem_slave_writedata
+	signal mm_interconnect_0_onchip_memory2_s1_chipselect                           : std_logic;                     -- mm_interconnect_0:onchip_memory2_s1_chipselect -> onchip_memory2:chipselect
+	signal mm_interconnect_0_onchip_memory2_s1_readdata                             : std_logic_vector(31 downto 0); -- onchip_memory2:readdata -> mm_interconnect_0:onchip_memory2_s1_readdata
+	signal mm_interconnect_0_onchip_memory2_s1_address                              : std_logic_vector(15 downto 0); -- mm_interconnect_0:onchip_memory2_s1_address -> onchip_memory2:address
+	signal mm_interconnect_0_onchip_memory2_s1_byteenable                           : std_logic_vector(3 downto 0);  -- mm_interconnect_0:onchip_memory2_s1_byteenable -> onchip_memory2:byteenable
+	signal mm_interconnect_0_onchip_memory2_s1_write                                : std_logic;                     -- mm_interconnect_0:onchip_memory2_s1_write -> onchip_memory2:write
+	signal mm_interconnect_0_onchip_memory2_s1_writedata                            : std_logic_vector(31 downto 0); -- mm_interconnect_0:onchip_memory2_s1_writedata -> onchip_memory2:writedata
+	signal mm_interconnect_0_onchip_memory2_s1_clken                                : std_logic;                     -- mm_interconnect_0:onchip_memory2_s1_clken -> onchip_memory2:clken
+	signal mm_interconnect_0_pio_led_s1_chipselect                                  : std_logic;                     -- mm_interconnect_0:pio_LED_s1_chipselect -> pio_LED:chipselect
+	signal mm_interconnect_0_pio_led_s1_readdata                                    : std_logic_vector(31 downto 0); -- pio_LED:readdata -> mm_interconnect_0:pio_LED_s1_readdata
+	signal mm_interconnect_0_pio_led_s1_address                                     : std_logic_vector(1 downto 0);  -- mm_interconnect_0:pio_LED_s1_address -> pio_LED:address
+	signal mm_interconnect_0_pio_led_s1_write                                       : std_logic;                     -- mm_interconnect_0:pio_LED_s1_write -> mm_interconnect_0_pio_led_s1_write:in
+	signal mm_interconnect_0_pio_led_s1_writedata                                   : std_logic_vector(31 downto 0); -- mm_interconnect_0:pio_LED_s1_writedata -> pio_LED:writedata
+	signal mm_interconnect_0_pio_matrix_s1_chipselect                               : std_logic;                     -- mm_interconnect_0:pio_MATRIX_s1_chipselect -> pio_MATRIX:chipselect
+	signal mm_interconnect_0_pio_matrix_s1_readdata                                 : std_logic_vector(31 downto 0); -- pio_MATRIX:readdata -> mm_interconnect_0:pio_MATRIX_s1_readdata
+	signal mm_interconnect_0_pio_matrix_s1_address                                  : std_logic_vector(1 downto 0);  -- mm_interconnect_0:pio_MATRIX_s1_address -> pio_MATRIX:address
+	signal mm_interconnect_0_pio_matrix_s1_write                                    : std_logic;                     -- mm_interconnect_0:pio_MATRIX_s1_write -> mm_interconnect_0_pio_matrix_s1_write:in
+	signal mm_interconnect_0_pio_matrix_s1_writedata                                : std_logic_vector(31 downto 0); -- mm_interconnect_0:pio_MATRIX_s1_writedata -> pio_MATRIX:writedata
+	signal mm_interconnect_0_pio_button_s1_readdata                                 : std_logic_vector(31 downto 0); -- pio_BUTTON:readdata -> mm_interconnect_0:pio_BUTTON_s1_readdata
+	signal mm_interconnect_0_pio_button_s1_address                                  : std_logic_vector(1 downto 0);  -- mm_interconnect_0:pio_BUTTON_s1_address -> pio_BUTTON:address
+	signal irq_mapper_receiver0_irq                                                 : std_logic;                     -- jtag_uart:av_irq -> irq_mapper:receiver0_irq
+	signal nios2_irq_irq                                                            : std_logic_vector(31 downto 0); -- irq_mapper:sender_irq -> nios2:irq
+	signal rst_controller_reset_out_reset                                           : std_logic;                     -- rst_controller:reset_out -> [irq_mapper:reset, mm_interconnect_0:nios2_reset_reset_bridge_in_reset_reset, onchip_memory2:reset, rst_controller_reset_out_reset:in, rst_translator:in_reset]
+	signal rst_controller_reset_out_reset_req                                       : std_logic;                     -- rst_controller:reset_req -> [nios2:reset_req, onchip_memory2:reset_req, rst_translator:reset_req_in]
+	signal nios2_debug_reset_request_reset                                          : std_logic;                     -- nios2:debug_reset_request -> rst_controller:reset_in1
+	signal reset_reset_n_ports_inv                                                  : std_logic;                     -- reset_reset_n:inv -> rst_controller:reset_in0
+	signal mm_interconnect_0_jtag_uart_avalon_jtag_slave_read_ports_inv             : std_logic;                     -- mm_interconnect_0_jtag_uart_avalon_jtag_slave_read:inv -> jtag_uart:av_read_n
+	signal mm_interconnect_0_jtag_uart_avalon_jtag_slave_write_ports_inv            : std_logic;                     -- mm_interconnect_0_jtag_uart_avalon_jtag_slave_write:inv -> jtag_uart:av_write_n
+	signal mm_interconnect_0_pio_led_s1_write_ports_inv                             : std_logic;                     -- mm_interconnect_0_pio_led_s1_write:inv -> pio_LED:write_n
+	signal mm_interconnect_0_pio_matrix_s1_write_ports_inv                          : std_logic;                     -- mm_interconnect_0_pio_matrix_s1_write:inv -> pio_MATRIX:write_n
+	signal rst_controller_reset_out_reset_ports_inv                                 : std_logic;                     -- rst_controller_reset_out_reset:inv -> [jtag_uart:rst_n, lcd_16207:reset_n, nios2:reset_n, pio_BUTTON:reset_n, pio_LED:reset_n, pio_MATRIX:reset_n]
 
 begin
 
@@ -277,6 +596,22 @@ begin
 			av_irq         => irq_mapper_receiver0_irq                                       --               irq.irq
 		);
 
+	lcd_16207 : component nios2_uc_lcd_16207
+		port map (
+			reset_n       => rst_controller_reset_out_reset_ports_inv,                --         reset.reset_n
+			clk           => clk_clk,                                                 --           clk.clk
+			begintransfer => mm_interconnect_0_lcd_16207_control_slave_begintransfer, -- control_slave.begintransfer
+			read          => mm_interconnect_0_lcd_16207_control_slave_read,          --              .read
+			write         => mm_interconnect_0_lcd_16207_control_slave_write,         --              .write
+			readdata      => mm_interconnect_0_lcd_16207_control_slave_readdata,      --              .readdata
+			writedata     => mm_interconnect_0_lcd_16207_control_slave_writedata,     --              .writedata
+			address       => mm_interconnect_0_lcd_16207_control_slave_address,       --              .address
+			LCD_RS        => lcd_16207_ext_RS,                                        --      external.export
+			LCD_RW        => lcd_16207_ext_RW,                                        --              .export
+			LCD_data      => lcd_16207_ext_data,                                      --              .export
+			LCD_E         => lcd_16207_ext_E                                          --              .export
+		);
+
 	nios2 : component nios2_uc_nios2
 		port map (
 			clk                                 => clk_clk,                                             --                       clk.clk
@@ -304,7 +639,40 @@ begin
 			debug_mem_slave_waitrequest         => mm_interconnect_0_nios2_debug_mem_slave_waitrequest, --                          .waitrequest
 			debug_mem_slave_write               => mm_interconnect_0_nios2_debug_mem_slave_write,       --                          .write
 			debug_mem_slave_writedata           => mm_interconnect_0_nios2_debug_mem_slave_writedata,   --                          .writedata
-			dummy_ci_port                       => open                                                 -- custom_instruction_master.readra
+			E_ci_multi_done                     => nios2_custom_instruction_master_done,                -- custom_instruction_master.done
+			E_ci_multi_clk_en                   => nios2_custom_instruction_master_clk_en,              --                          .clk_en
+			E_ci_multi_start                    => nios2_custom_instruction_master_start,               --                          .start
+			E_ci_result                         => nios2_custom_instruction_master_result,              --                          .result
+			D_ci_a                              => nios2_custom_instruction_master_a,                   --                          .a
+			D_ci_b                              => nios2_custom_instruction_master_b,                   --                          .b
+			D_ci_c                              => nios2_custom_instruction_master_c,                   --                          .c
+			D_ci_n                              => nios2_custom_instruction_master_n,                   --                          .n
+			D_ci_readra                         => nios2_custom_instruction_master_readra,              --                          .readra
+			D_ci_readrb                         => nios2_custom_instruction_master_readrb,              --                          .readrb
+			D_ci_writerc                        => nios2_custom_instruction_master_writerc,             --                          .writerc
+			E_ci_dataa                          => nios2_custom_instruction_master_dataa,               --                          .dataa
+			E_ci_datab                          => nios2_custom_instruction_master_datab,               --                          .datab
+			E_ci_multi_clock                    => nios2_custom_instruction_master_clk,                 --                          .clk
+			E_ci_multi_reset                    => nios2_custom_instruction_master_reset,               --                          .reset
+			E_ci_multi_reset_req                => nios2_custom_instruction_master_reset_req,           --                          .reset_req
+			W_ci_estatus                        => nios2_custom_instruction_master_estatus,             --                          .estatus
+			W_ci_ipending                       => nios2_custom_instruction_master_ipending             --                          .ipending
+		);
+
+	nios_custom_instr_floating_point_0 : component fpoint_wrapper
+		generic map (
+			useDivider => 1
+		)
+		port map (
+			clk    => nios2_custom_instruction_master_multi_slave_translator0_ci_master_clk,    -- s1.clk
+			clk_en => nios2_custom_instruction_master_multi_slave_translator0_ci_master_clk_en, --   .clk_en
+			dataa  => nios2_custom_instruction_master_multi_slave_translator0_ci_master_dataa,  --   .dataa
+			datab  => nios2_custom_instruction_master_multi_slave_translator0_ci_master_datab,  --   .datab
+			n      => nios2_custom_instruction_master_multi_slave_translator0_ci_master_n,      --   .n
+			reset  => nios2_custom_instruction_master_multi_slave_translator0_ci_master_reset,  --   .reset
+			start  => nios2_custom_instruction_master_multi_slave_translator0_ci_master_start,  --   .start
+			done   => nios2_custom_instruction_master_multi_slave_translator0_ci_master_done,   --   .done
+			result => nios2_custom_instruction_master_multi_slave_translator0_ci_master_result  --   .result
 		);
 
 	onchip_memory2 : component nios2_uc_onchip_memory2
@@ -322,6 +690,15 @@ begin
 			freeze     => '0'                                             -- (terminated)
 		);
 
+	pio_button : component nios2_uc_pio_BUTTON
+		port map (
+			clk      => clk_clk,                                  --                 clk.clk
+			reset_n  => rst_controller_reset_out_reset_ports_inv, --               reset.reset_n
+			address  => mm_interconnect_0_pio_button_s1_address,  --                  s1.address
+			readdata => mm_interconnect_0_pio_button_s1_readdata, --                    .readdata
+			in_port  => pio_button_ext_conn_export                -- external_connection.export
+		);
+
 	pio_led : component nios2_uc_pio_LED
 		port map (
 			clk        => clk_clk,                                      --                 clk.clk
@@ -334,6 +711,166 @@ begin
 			out_port   => pio_led_ext_conn_export                       -- external_connection.export
 		);
 
+	pio_matrix : component nios2_uc_pio_MATRIX
+		port map (
+			clk        => clk_clk,                                         --                 clk.clk
+			reset_n    => rst_controller_reset_out_reset_ports_inv,        --               reset.reset_n
+			address    => mm_interconnect_0_pio_matrix_s1_address,         --                  s1.address
+			write_n    => mm_interconnect_0_pio_matrix_s1_write_ports_inv, --                    .write_n
+			writedata  => mm_interconnect_0_pio_matrix_s1_writedata,       --                    .writedata
+			chipselect => mm_interconnect_0_pio_matrix_s1_chipselect,      --                    .chipselect
+			readdata   => mm_interconnect_0_pio_matrix_s1_readdata,        --                    .readdata
+			out_port   => pio_matrix_ext_conn_export                       -- external_connection.export
+		);
+
+	nios2_custom_instruction_master_translator : component altera_customins_master_translator
+		generic map (
+			SHARED_COMB_AND_MULTI => 1
+		)
+		port map (
+			ci_slave_dataa            => nios2_custom_instruction_master_dataa,                                --        ci_slave.dataa
+			ci_slave_datab            => nios2_custom_instruction_master_datab,                                --                .datab
+			ci_slave_result           => nios2_custom_instruction_master_result,                               --                .result
+			ci_slave_n                => nios2_custom_instruction_master_n,                                    --                .n
+			ci_slave_readra           => nios2_custom_instruction_master_readra,                               --                .readra
+			ci_slave_readrb           => nios2_custom_instruction_master_readrb,                               --                .readrb
+			ci_slave_writerc          => nios2_custom_instruction_master_writerc,                              --                .writerc
+			ci_slave_a                => nios2_custom_instruction_master_a,                                    --                .a
+			ci_slave_b                => nios2_custom_instruction_master_b,                                    --                .b
+			ci_slave_c                => nios2_custom_instruction_master_c,                                    --                .c
+			ci_slave_ipending         => nios2_custom_instruction_master_ipending,                             --                .ipending
+			ci_slave_estatus          => nios2_custom_instruction_master_estatus,                              --                .estatus
+			ci_slave_multi_clk        => nios2_custom_instruction_master_clk,                                  --                .clk
+			ci_slave_multi_reset      => nios2_custom_instruction_master_reset,                                --                .reset
+			ci_slave_multi_clken      => nios2_custom_instruction_master_clk_en,                               --                .clk_en
+			ci_slave_multi_reset_req  => nios2_custom_instruction_master_reset_req,                            --                .reset_req
+			ci_slave_multi_start      => nios2_custom_instruction_master_start,                                --                .start
+			ci_slave_multi_done       => nios2_custom_instruction_master_done,                                 --                .done
+			comb_ci_master_dataa      => open,                                                                 --  comb_ci_master.dataa
+			comb_ci_master_datab      => open,                                                                 --                .datab
+			comb_ci_master_result     => open,                                                                 --                .result
+			comb_ci_master_n          => open,                                                                 --                .n
+			comb_ci_master_readra     => open,                                                                 --                .readra
+			comb_ci_master_readrb     => open,                                                                 --                .readrb
+			comb_ci_master_writerc    => open,                                                                 --                .writerc
+			comb_ci_master_a          => open,                                                                 --                .a
+			comb_ci_master_b          => open,                                                                 --                .b
+			comb_ci_master_c          => open,                                                                 --                .c
+			comb_ci_master_ipending   => open,                                                                 --                .ipending
+			comb_ci_master_estatus    => open,                                                                 --                .estatus
+			multi_ci_master_clk       => nios2_custom_instruction_master_translator_multi_ci_master_clk,       -- multi_ci_master.clk
+			multi_ci_master_reset     => nios2_custom_instruction_master_translator_multi_ci_master_reset,     --                .reset
+			multi_ci_master_clken     => nios2_custom_instruction_master_translator_multi_ci_master_clk_en,    --                .clk_en
+			multi_ci_master_reset_req => nios2_custom_instruction_master_translator_multi_ci_master_reset_req, --                .reset_req
+			multi_ci_master_start     => nios2_custom_instruction_master_translator_multi_ci_master_start,     --                .start
+			multi_ci_master_done      => nios2_custom_instruction_master_translator_multi_ci_master_done,      --                .done
+			multi_ci_master_dataa     => nios2_custom_instruction_master_translator_multi_ci_master_dataa,     --                .dataa
+			multi_ci_master_datab     => nios2_custom_instruction_master_translator_multi_ci_master_datab,     --                .datab
+			multi_ci_master_result    => nios2_custom_instruction_master_translator_multi_ci_master_result,    --                .result
+			multi_ci_master_n         => nios2_custom_instruction_master_translator_multi_ci_master_n,         --                .n
+			multi_ci_master_readra    => nios2_custom_instruction_master_translator_multi_ci_master_readra,    --                .readra
+			multi_ci_master_readrb    => nios2_custom_instruction_master_translator_multi_ci_master_readrb,    --                .readrb
+			multi_ci_master_writerc   => nios2_custom_instruction_master_translator_multi_ci_master_writerc,   --                .writerc
+			multi_ci_master_a         => nios2_custom_instruction_master_translator_multi_ci_master_a,         --                .a
+			multi_ci_master_b         => nios2_custom_instruction_master_translator_multi_ci_master_b,         --                .b
+			multi_ci_master_c         => nios2_custom_instruction_master_translator_multi_ci_master_c,         --                .c
+			ci_slave_multi_dataa      => "00000000000000000000000000000000",                                   --     (terminated)
+			ci_slave_multi_datab      => "00000000000000000000000000000000",                                   --     (terminated)
+			ci_slave_multi_result     => open,                                                                 --     (terminated)
+			ci_slave_multi_n          => "00000000",                                                           --     (terminated)
+			ci_slave_multi_readra     => '0',                                                                  --     (terminated)
+			ci_slave_multi_readrb     => '0',                                                                  --     (terminated)
+			ci_slave_multi_writerc    => '0',                                                                  --     (terminated)
+			ci_slave_multi_a          => "00000",                                                              --     (terminated)
+			ci_slave_multi_b          => "00000",                                                              --     (terminated)
+			ci_slave_multi_c          => "00000"                                                               --     (terminated)
+		);
+
+	nios2_custom_instruction_master_multi_xconnect : component nios2_uc_nios2_custom_instruction_master_multi_xconnect
+		port map (
+			ci_slave_dataa       => nios2_custom_instruction_master_translator_multi_ci_master_dataa,     --   ci_slave.dataa
+			ci_slave_datab       => nios2_custom_instruction_master_translator_multi_ci_master_datab,     --           .datab
+			ci_slave_result      => nios2_custom_instruction_master_translator_multi_ci_master_result,    --           .result
+			ci_slave_n           => nios2_custom_instruction_master_translator_multi_ci_master_n,         --           .n
+			ci_slave_readra      => nios2_custom_instruction_master_translator_multi_ci_master_readra,    --           .readra
+			ci_slave_readrb      => nios2_custom_instruction_master_translator_multi_ci_master_readrb,    --           .readrb
+			ci_slave_writerc     => nios2_custom_instruction_master_translator_multi_ci_master_writerc,   --           .writerc
+			ci_slave_a           => nios2_custom_instruction_master_translator_multi_ci_master_a,         --           .a
+			ci_slave_b           => nios2_custom_instruction_master_translator_multi_ci_master_b,         --           .b
+			ci_slave_c           => nios2_custom_instruction_master_translator_multi_ci_master_c,         --           .c
+			ci_slave_ipending    => open,                                                                 --           .ipending
+			ci_slave_estatus     => open,                                                                 --           .estatus
+			ci_slave_clk         => nios2_custom_instruction_master_translator_multi_ci_master_clk,       --           .clk
+			ci_slave_reset       => nios2_custom_instruction_master_translator_multi_ci_master_reset,     --           .reset
+			ci_slave_clken       => nios2_custom_instruction_master_translator_multi_ci_master_clk_en,    --           .clk_en
+			ci_slave_reset_req   => nios2_custom_instruction_master_translator_multi_ci_master_reset_req, --           .reset_req
+			ci_slave_start       => nios2_custom_instruction_master_translator_multi_ci_master_start,     --           .start
+			ci_slave_done        => nios2_custom_instruction_master_translator_multi_ci_master_done,      --           .done
+			ci_master0_dataa     => nios2_custom_instruction_master_multi_xconnect_ci_master0_dataa,      -- ci_master0.dataa
+			ci_master0_datab     => nios2_custom_instruction_master_multi_xconnect_ci_master0_datab,      --           .datab
+			ci_master0_result    => nios2_custom_instruction_master_multi_xconnect_ci_master0_result,     --           .result
+			ci_master0_n         => nios2_custom_instruction_master_multi_xconnect_ci_master0_n,          --           .n
+			ci_master0_readra    => nios2_custom_instruction_master_multi_xconnect_ci_master0_readra,     --           .readra
+			ci_master0_readrb    => nios2_custom_instruction_master_multi_xconnect_ci_master0_readrb,     --           .readrb
+			ci_master0_writerc   => nios2_custom_instruction_master_multi_xconnect_ci_master0_writerc,    --           .writerc
+			ci_master0_a         => nios2_custom_instruction_master_multi_xconnect_ci_master0_a,          --           .a
+			ci_master0_b         => nios2_custom_instruction_master_multi_xconnect_ci_master0_b,          --           .b
+			ci_master0_c         => nios2_custom_instruction_master_multi_xconnect_ci_master0_c,          --           .c
+			ci_master0_ipending  => nios2_custom_instruction_master_multi_xconnect_ci_master0_ipending,   --           .ipending
+			ci_master0_estatus   => nios2_custom_instruction_master_multi_xconnect_ci_master0_estatus,    --           .estatus
+			ci_master0_clk       => nios2_custom_instruction_master_multi_xconnect_ci_master0_clk,        --           .clk
+			ci_master0_reset     => nios2_custom_instruction_master_multi_xconnect_ci_master0_reset,      --           .reset
+			ci_master0_clken     => nios2_custom_instruction_master_multi_xconnect_ci_master0_clk_en,     --           .clk_en
+			ci_master0_reset_req => nios2_custom_instruction_master_multi_xconnect_ci_master0_reset_req,  --           .reset_req
+			ci_master0_start     => nios2_custom_instruction_master_multi_xconnect_ci_master0_start,      --           .start
+			ci_master0_done      => nios2_custom_instruction_master_multi_xconnect_ci_master0_done        --           .done
+		);
+
+	nios2_custom_instruction_master_multi_slave_translator0 : component altera_customins_slave_translator
+		generic map (
+			N_WIDTH          => 2,
+			USE_DONE         => 1,
+			NUM_FIXED_CYCLES => 1
+		)
+		port map (
+			ci_slave_dataa      => nios2_custom_instruction_master_multi_xconnect_ci_master0_dataa,          --  ci_slave.dataa
+			ci_slave_datab      => nios2_custom_instruction_master_multi_xconnect_ci_master0_datab,          --          .datab
+			ci_slave_result     => nios2_custom_instruction_master_multi_xconnect_ci_master0_result,         --          .result
+			ci_slave_n          => nios2_custom_instruction_master_multi_xconnect_ci_master0_n,              --          .n
+			ci_slave_readra     => nios2_custom_instruction_master_multi_xconnect_ci_master0_readra,         --          .readra
+			ci_slave_readrb     => nios2_custom_instruction_master_multi_xconnect_ci_master0_readrb,         --          .readrb
+			ci_slave_writerc    => nios2_custom_instruction_master_multi_xconnect_ci_master0_writerc,        --          .writerc
+			ci_slave_a          => nios2_custom_instruction_master_multi_xconnect_ci_master0_a,              --          .a
+			ci_slave_b          => nios2_custom_instruction_master_multi_xconnect_ci_master0_b,              --          .b
+			ci_slave_c          => nios2_custom_instruction_master_multi_xconnect_ci_master0_c,              --          .c
+			ci_slave_ipending   => nios2_custom_instruction_master_multi_xconnect_ci_master0_ipending,       --          .ipending
+			ci_slave_estatus    => nios2_custom_instruction_master_multi_xconnect_ci_master0_estatus,        --          .estatus
+			ci_slave_clk        => nios2_custom_instruction_master_multi_xconnect_ci_master0_clk,            --          .clk
+			ci_slave_clken      => nios2_custom_instruction_master_multi_xconnect_ci_master0_clk_en,         --          .clk_en
+			ci_slave_reset_req  => nios2_custom_instruction_master_multi_xconnect_ci_master0_reset_req,      --          .reset_req
+			ci_slave_reset      => nios2_custom_instruction_master_multi_xconnect_ci_master0_reset,          --          .reset
+			ci_slave_start      => nios2_custom_instruction_master_multi_xconnect_ci_master0_start,          --          .start
+			ci_slave_done       => nios2_custom_instruction_master_multi_xconnect_ci_master0_done,           --          .done
+			ci_master_dataa     => nios2_custom_instruction_master_multi_slave_translator0_ci_master_dataa,  -- ci_master.dataa
+			ci_master_datab     => nios2_custom_instruction_master_multi_slave_translator0_ci_master_datab,  --          .datab
+			ci_master_result    => nios2_custom_instruction_master_multi_slave_translator0_ci_master_result, --          .result
+			ci_master_n         => nios2_custom_instruction_master_multi_slave_translator0_ci_master_n,      --          .n
+			ci_master_clk       => nios2_custom_instruction_master_multi_slave_translator0_ci_master_clk,    --          .clk
+			ci_master_clken     => nios2_custom_instruction_master_multi_slave_translator0_ci_master_clk_en, --          .clk_en
+			ci_master_reset     => nios2_custom_instruction_master_multi_slave_translator0_ci_master_reset,  --          .reset
+			ci_master_start     => nios2_custom_instruction_master_multi_slave_translator0_ci_master_start,  --          .start
+			ci_master_done      => nios2_custom_instruction_master_multi_slave_translator0_ci_master_done,   --          .done
+			ci_master_readra    => open,                                                                     -- (terminated)
+			ci_master_readrb    => open,                                                                     -- (terminated)
+			ci_master_writerc   => open,                                                                     -- (terminated)
+			ci_master_a         => open,                                                                     -- (terminated)
+			ci_master_b         => open,                                                                     -- (terminated)
+			ci_master_c         => open,                                                                     -- (terminated)
+			ci_master_ipending  => open,                                                                     -- (terminated)
+			ci_master_estatus   => open,                                                                     -- (terminated)
+			ci_master_reset_req => open                                                                      -- (terminated)
+		);
+
 	mm_interconnect_0 : component nios2_uc_mm_interconnect_0
 		port map (
 			clk_50_clk_clk                          => clk_clk,                                                   --                        clk_50_clk.clk
@@ -357,6 +894,12 @@ begin
 			jtag_uart_avalon_jtag_slave_writedata   => mm_interconnect_0_jtag_uart_avalon_jtag_slave_writedata,   --                                  .writedata
 			jtag_uart_avalon_jtag_slave_waitrequest => mm_interconnect_0_jtag_uart_avalon_jtag_slave_waitrequest, --                                  .waitrequest
 			jtag_uart_avalon_jtag_slave_chipselect  => mm_interconnect_0_jtag_uart_avalon_jtag_slave_chipselect,  --                                  .chipselect
+			lcd_16207_control_slave_address         => mm_interconnect_0_lcd_16207_control_slave_address,         --           lcd_16207_control_slave.address
+			lcd_16207_control_slave_write           => mm_interconnect_0_lcd_16207_control_slave_write,           --                                  .write
+			lcd_16207_control_slave_read            => mm_interconnect_0_lcd_16207_control_slave_read,            --                                  .read
+			lcd_16207_control_slave_readdata        => mm_interconnect_0_lcd_16207_control_slave_readdata,        --                                  .readdata
+			lcd_16207_control_slave_writedata       => mm_interconnect_0_lcd_16207_control_slave_writedata,       --                                  .writedata
+			lcd_16207_control_slave_begintransfer   => mm_interconnect_0_lcd_16207_control_slave_begintransfer,   --                                  .begintransfer
 			nios2_debug_mem_slave_address           => mm_interconnect_0_nios2_debug_mem_slave_address,           --             nios2_debug_mem_slave.address
 			nios2_debug_mem_slave_write             => mm_interconnect_0_nios2_debug_mem_slave_write,             --                                  .write
 			nios2_debug_mem_slave_read              => mm_interconnect_0_nios2_debug_mem_slave_read,              --                                  .read
@@ -372,11 +915,18 @@ begin
 			onchip_memory2_s1_byteenable            => mm_interconnect_0_onchip_memory2_s1_byteenable,            --                                  .byteenable
 			onchip_memory2_s1_chipselect            => mm_interconnect_0_onchip_memory2_s1_chipselect,            --                                  .chipselect
 			onchip_memory2_s1_clken                 => mm_interconnect_0_onchip_memory2_s1_clken,                 --                                  .clken
+			pio_BUTTON_s1_address                   => mm_interconnect_0_pio_button_s1_address,                   --                     pio_BUTTON_s1.address
+			pio_BUTTON_s1_readdata                  => mm_interconnect_0_pio_button_s1_readdata,                  --                                  .readdata
 			pio_LED_s1_address                      => mm_interconnect_0_pio_led_s1_address,                      --                        pio_LED_s1.address
 			pio_LED_s1_write                        => mm_interconnect_0_pio_led_s1_write,                        --                                  .write
 			pio_LED_s1_readdata                     => mm_interconnect_0_pio_led_s1_readdata,                     --                                  .readdata
 			pio_LED_s1_writedata                    => mm_interconnect_0_pio_led_s1_writedata,                    --                                  .writedata
-			pio_LED_s1_chipselect                   => mm_interconnect_0_pio_led_s1_chipselect                    --                                  .chipselect
+			pio_LED_s1_chipselect                   => mm_interconnect_0_pio_led_s1_chipselect,                   --                                  .chipselect
+			pio_MATRIX_s1_address                   => mm_interconnect_0_pio_matrix_s1_address,                   --                     pio_MATRIX_s1.address
+			pio_MATRIX_s1_write                     => mm_interconnect_0_pio_matrix_s1_write,                     --                                  .write
+			pio_MATRIX_s1_readdata                  => mm_interconnect_0_pio_matrix_s1_readdata,                  --                                  .readdata
+			pio_MATRIX_s1_writedata                 => mm_interconnect_0_pio_matrix_s1_writedata,                 --                                  .writedata
+			pio_MATRIX_s1_chipselect                => mm_interconnect_0_pio_matrix_s1_chipselect                 --                                  .chipselect
 		);
 
 	irq_mapper : component nios2_uc_irq_mapper
@@ -460,6 +1010,8 @@ begin
 
 	mm_interconnect_0_pio_led_s1_write_ports_inv <= not mm_interconnect_0_pio_led_s1_write;
 
+	mm_interconnect_0_pio_matrix_s1_write_ports_inv <= not mm_interconnect_0_pio_matrix_s1_write;
+
 	rst_controller_reset_out_reset_ports_inv <= not rst_controller_reset_out_reset;
 
 end architecture rtl; -- of nios2_uc

+ 148 - 0
nios2_uc/synthesis/submodules/altera_customins_master_translator.v

@@ -0,0 +1,148 @@
+// (C) 2001-2018 Intel Corporation. All rights reserved.
+// Your use of Intel Corporation's design tools, logic functions and other 
+// software and tools, and its AMPP partner logic functions, and any output 
+// files from any of the foregoing (including device programming or simulation 
+// files), and any associated documentation or information are expressly subject 
+// to the terms and conditions of the Intel Program License Subscription 
+// Agreement, Intel FPGA IP License Agreement, or other applicable 
+// license agreement, including, without limitation, that your use is for the 
+// sole purpose of programming logic devices manufactured by Intel and sold by 
+// Intel or its authorized distributors.  Please refer to the applicable 
+// agreement for further details.
+
+
+// $Id: //acds/rel/18.1std/ip/merlin/altera_customins_master_translator/altera_customins_master_translator.v#1 $
+// $Revision: #1 $
+// $Date: 2018/07/18 $
+// $Author: psgswbuild $
+// ------------------------------------------
+// Custom instruction master translator
+// ------------------------------------------
+`timescale 1 ns / 1 ns
+
+module altera_customins_master_translator 
+#(
+    parameter SHARED_COMB_AND_MULTI = 0
+)
+(
+    // ------------------------------------------
+    // Hybrid slave
+    // ------------------------------------------
+    input  wire [31:0] ci_slave_dataa,          //        ci_slave.dataa
+    input  wire [31:0] ci_slave_datab,          //                .datab
+    output wire [31:0] ci_slave_result,         //                .result
+    input  wire [7:0]  ci_slave_n,              //                .n
+    input  wire        ci_slave_readra,         //                .readra
+    input  wire        ci_slave_readrb,         //                .readrb
+    input  wire        ci_slave_writerc,        //                .writerc
+    input  wire [4:0]  ci_slave_a,              //                .a
+    input  wire [4:0]  ci_slave_b,              //                .b
+    input  wire [4:0]  ci_slave_c,              //                .c
+    input  wire [31:0] ci_slave_ipending,       //                .ipending
+    input  wire        ci_slave_estatus,        //                .estatus
+    input  wire        ci_slave_multi_clk,      //                .clk
+    input  wire        ci_slave_multi_reset,    //                .reset
+    input  wire        ci_slave_multi_reset_req,//                .reset_req
+    input  wire        ci_slave_multi_clken,    //                .clk_en
+    input  wire        ci_slave_multi_start,    //                .start
+    output wire        ci_slave_multi_done,     //                .done
+    input  wire [31:0] ci_slave_multi_dataa,    //                .multi_dataa
+    input  wire [31:0] ci_slave_multi_datab,    //                .multi_datab
+    output wire [31:0] ci_slave_multi_result,   //                .multi_result
+    input  wire [7:0]  ci_slave_multi_n,        //                .multi_n
+    input  wire        ci_slave_multi_readra,   //                .multi_readra
+    input  wire        ci_slave_multi_readrb,   //                .multi_readrb
+    input  wire        ci_slave_multi_writerc,  //                .multi_writerc
+    input  wire [4:0]  ci_slave_multi_a,        //                .multi_a
+    input  wire [4:0]  ci_slave_multi_b,        //                .multi_b
+    input  wire [4:0]  ci_slave_multi_c,        //                .multi_c
+    // ------------------------------------------
+    // Comb master
+    // ------------------------------------------
+    output wire [31:0] comb_ci_master_dataa,    //  comb_ci_master.dataa
+    output wire [31:0] comb_ci_master_datab,    //                .datab
+    input  wire [31:0] comb_ci_master_result,   //                .result
+    output wire [7:0]  comb_ci_master_n,        //                .n
+    output wire        comb_ci_master_readra,   //                .readra
+    output wire        comb_ci_master_readrb,   //                .readrb
+    output wire        comb_ci_master_writerc,  //                .writerc
+    output wire [4:0]  comb_ci_master_a,        //                .a
+    output wire [4:0]  comb_ci_master_b,        //                .b
+    output wire [4:0]  comb_ci_master_c,        //                .c
+    output wire [31:0] comb_ci_master_ipending, //                .ipending
+    output wire        comb_ci_master_estatus,  //                .estatus
+    // ------------------------------------------
+    // Multi master
+    // ------------------------------------------
+    output wire        multi_ci_master_clk,     // multi_ci_master.clk
+    output wire        multi_ci_master_reset,   //                .reset
+    output wire        multi_ci_master_reset_req, //              .reset_req
+    output wire        multi_ci_master_clken,   //                .clk_en
+    output wire        multi_ci_master_start,   //                .start
+    input  wire        multi_ci_master_done,    //                .done
+    output wire [31:0] multi_ci_master_dataa,   //                .dataa
+    output wire [31:0] multi_ci_master_datab,   //                .datab
+    input  wire [31:0] multi_ci_master_result,  //                .result
+    output wire [7:0]  multi_ci_master_n,       //                .n
+    output wire        multi_ci_master_readra,  //                .readra
+    output wire        multi_ci_master_readrb,  //                .readrb
+    output wire        multi_ci_master_writerc, //                .writerc
+    output wire [4:0]  multi_ci_master_a,       //                .a
+    output wire [4:0]  multi_ci_master_b,       //                .b
+    output wire [4:0]  multi_ci_master_c        //                .c
+	);
+
+    assign comb_ci_master_dataa   = ci_slave_dataa;
+    assign comb_ci_master_datab   = ci_slave_datab;
+    assign comb_ci_master_n       = ci_slave_n;
+    assign comb_ci_master_a       = ci_slave_a;
+    assign comb_ci_master_b       = ci_slave_b;
+    assign comb_ci_master_c       = ci_slave_c;
+    assign comb_ci_master_readra  = ci_slave_readra;
+    assign comb_ci_master_readrb  = ci_slave_readrb;
+    assign comb_ci_master_writerc = ci_slave_writerc;
+    assign comb_ci_master_ipending = ci_slave_ipending;
+    assign comb_ci_master_estatus  = ci_slave_estatus;
+
+    assign multi_ci_master_clk   = ci_slave_multi_clk;
+    assign multi_ci_master_reset = ci_slave_multi_reset;
+    assign multi_ci_master_reset_req = ci_slave_multi_reset_req;
+    assign multi_ci_master_clken = ci_slave_multi_clken;
+    assign multi_ci_master_start = ci_slave_multi_start;
+    assign ci_slave_multi_done   = multi_ci_master_done;
+
+    generate if (SHARED_COMB_AND_MULTI == 0) begin
+
+        assign multi_ci_master_dataa   = ci_slave_multi_dataa;
+        assign multi_ci_master_datab   = ci_slave_multi_datab;
+        assign multi_ci_master_n       = ci_slave_multi_n;
+        assign multi_ci_master_a       = ci_slave_multi_a;
+        assign multi_ci_master_b       = ci_slave_multi_b;
+        assign multi_ci_master_c       = ci_slave_multi_c;
+        assign multi_ci_master_readra  = ci_slave_multi_readra;
+        assign multi_ci_master_readrb  = ci_slave_multi_readrb;
+        assign multi_ci_master_writerc = ci_slave_multi_writerc;
+        
+        assign ci_slave_result         = comb_ci_master_result;
+        assign ci_slave_multi_result   = multi_ci_master_result;
+
+    end else begin
+
+	    assign multi_ci_master_dataa   = ci_slave_dataa;
+	    assign multi_ci_master_datab   = ci_slave_datab;
+        assign multi_ci_master_n       = ci_slave_n;
+        assign multi_ci_master_a       = ci_slave_a;
+        assign multi_ci_master_b       = ci_slave_b;
+        assign multi_ci_master_c       = ci_slave_c;
+        assign multi_ci_master_readra  = ci_slave_readra;
+        assign multi_ci_master_readrb  = ci_slave_readrb;
+        assign multi_ci_master_writerc = ci_slave_writerc;
+
+        assign ci_slave_result = ci_slave_multi_done ? multi_ci_master_result :
+                                    comb_ci_master_result;
+
+    end
+
+    endgenerate
+
+endmodule

+ 148 - 0
nios2_uc/synthesis/submodules/altera_customins_slave_translator.sv

@@ -0,0 +1,148 @@
+// (C) 2001-2018 Intel Corporation. All rights reserved.
+// Your use of Intel Corporation's design tools, logic functions and other 
+// software and tools, and its AMPP partner logic functions, and any output 
+// files from any of the foregoing (including device programming or simulation 
+// files), and any associated documentation or information are expressly subject 
+// to the terms and conditions of the Intel Program License Subscription 
+// Agreement, Intel FPGA IP License Agreement, or other applicable 
+// license agreement, including, without limitation, that your use is for the 
+// sole purpose of programming logic devices manufactured by Intel and sold by 
+// Intel or its authorized distributors.  Please refer to the applicable 
+// agreement for further details.
+
+
+// $Id: //acds/rel/18.1std/ip/merlin/altera_customins_slave_translator/altera_customins_slave_translator.sv#1 $
+// $Revision: #1 $
+// $Date: 2018/07/18 $
+// $Author: psgswbuild $
+// --------------------------------------
+// Custom instruction slave translator
+// --------------------------------------
+`timescale 1 ns / 1 ns
+
+module altera_customins_slave_translator
+#(
+    parameter N_WIDTH          = 8,
+              USE_DONE         = 1,
+              NUM_FIXED_CYCLES = 2
+)
+(
+    // --------------------------------------
+    // Slave
+    // --------------------------------------
+    input  wire [31:0] ci_slave_dataa,   
+    input  wire [31:0] ci_slave_datab,   
+    output wire [31:0] ci_slave_result,  
+    input  wire [7:0]  ci_slave_n,       
+    input  wire        ci_slave_readra,  
+    input  wire        ci_slave_readrb,  
+    input  wire        ci_slave_writerc, 
+    input  wire [4:0]  ci_slave_a,       
+    input  wire [4:0]  ci_slave_b,       
+    input  wire [4:0]  ci_slave_c,
+    input  wire [31:0] ci_slave_ipending,
+    input  wire        ci_slave_estatus,
+
+    input  wire        ci_slave_clk,
+    input  wire        ci_slave_clken,
+    input  wire        ci_slave_reset,
+    input  wire        ci_slave_reset_req,
+    input  wire        ci_slave_start,
+    output wire        ci_slave_done,
+
+    // --------------------------------------
+    // Master
+    // --------------------------------------
+    output wire [31:0] ci_master_dataa, 
+    output wire [31:0] ci_master_datab, 
+    input  wire [31:0] ci_master_result,
+    output wire [N_WIDTH-1:0]  ci_master_n,       
+    output wire        ci_master_readra, 
+    output wire        ci_master_readrb, 
+    output wire        ci_master_writerc,
+    output wire [4:0]  ci_master_a,      
+    output wire [4:0]  ci_master_b,      
+    output wire [4:0]  ci_master_c,
+    output wire [31:0] ci_master_ipending,
+    output wire        ci_master_estatus,
+
+    output wire        ci_master_clk,
+    output wire        ci_master_clken,
+    output wire        ci_master_reset,
+    output wire        ci_master_reset_req,
+    output wire        ci_master_start,
+    input  wire        ci_master_done
+       
+);
+    localparam COUNTER_WIDTH = $clog2(NUM_FIXED_CYCLES);
+
+    wire                     gen_done;
+    reg  [COUNTER_WIDTH-1:0] count;
+    reg                      running;
+    reg                      reg_start;
+
+    assign ci_slave_result   = ci_master_result;
+    assign ci_master_writerc = ci_slave_writerc;
+    assign ci_master_dataa   = ci_slave_dataa;
+    assign ci_master_readra  = ci_slave_readra;
+    assign ci_master_datab   = ci_slave_datab;
+    assign ci_master_readrb  = ci_slave_readrb;
+    assign ci_master_b = ci_slave_b;
+    assign ci_master_c = ci_slave_c;
+    assign ci_master_a = ci_slave_a;
+    assign ci_master_ipending = ci_slave_ipending;
+    assign ci_master_estatus = ci_slave_estatus;
+
+    assign ci_master_clk    = ci_slave_clk;
+    assign ci_master_clken  = ci_slave_clken;
+    assign ci_master_reset  = ci_slave_reset;
+    assign ci_master_reset_req = ci_slave_reset_req;
+
+    // --------------------------------------
+    // Is there something we need to do if the master does not 
+    // have start?
+    // --------------------------------------
+    assign ci_master_start  = ci_slave_start;
+
+    // --------------------------------------
+    // Create the done signal if the slave does not drive it.
+    // 
+    // For num_cycles = 2, this is just the registered start.
+    // Anything larger and we use a down-counter.
+    // --------------------------------------
+    assign ci_slave_done = (USE_DONE == 1) ? ci_master_done : gen_done;
+    assign gen_done      = (NUM_FIXED_CYCLES == 2) ? reg_start : (count == 0);
+
+    always @(posedge ci_slave_clk, posedge ci_slave_reset) begin
+        if (ci_slave_reset)
+            reg_start <= 0;
+        else if (ci_slave_clken)
+            reg_start <= ci_slave_start;
+    end
+
+    always @(posedge ci_slave_clk, posedge ci_slave_reset) begin
+        if (ci_slave_reset) begin
+            running <= 0;
+            count   <= NUM_FIXED_CYCLES - 2;
+        end 
+        else if (ci_slave_clken) begin
+            if (ci_slave_start)
+                running <= 1;
+            if (running)
+                count   <= count - 1;
+            if (ci_slave_done) begin
+                running <= 0;
+                count   <= NUM_FIXED_CYCLES - 2;
+            end
+        end
+    end
+
+    // --------------------------------------
+    // Opcode base addresses must be a multiple of their span,
+    // just like base addresses. This simplifies the following
+    // assignment (just drop the high order bits)
+    // --------------------------------------
+    assign ci_master_n = ci_slave_n[N_WIDTH-1:0];
+
+
+endmodule

+ 1 - 1
nios2_uc/synthesis/submodules/altera_merlin_arbitrator.sv

@@ -1,4 +1,4 @@
-// (C) 2001-2019 Intel Corporation. All rights reserved.
+// (C) 2001-2018 Intel Corporation. All rights reserved.
 // Your use of Intel Corporation's design tools, logic functions and other 
 // software and tools, and its AMPP partner logic functions, and any output 
 // files from any of the foregoing (including device programming or simulation 

+ 3 - 3
nios2_uc/synthesis/submodules/altera_merlin_burst_uncompressor.sv

@@ -1,4 +1,4 @@
-// (C) 2001-2019 Intel Corporation. All rights reserved.
+// (C) 2001-2018 Intel Corporation. All rights reserved.
 // Your use of Intel Corporation's design tools, logic functions and other 
 // software and tools, and its AMPP partner logic functions, and any output 
 // files from any of the foregoing (including device programming or simulation 
@@ -24,9 +24,9 @@
 // agreement for further details.
 
 
-// $Id: //acds/rel/19.1std/ip/merlin/altera_merlin_slave_agent/altera_merlin_burst_uncompressor.sv#1 $
+// $Id: //acds/rel/18.1std/ip/merlin/altera_merlin_slave_agent/altera_merlin_burst_uncompressor.sv#1 $
 // $Revision: #1 $
-// $Date: 2018/11/07 $
+// $Date: 2018/07/18 $
 // $Author: psgswbuild $
 
 // ------------------------------------------

+ 3 - 3
nios2_uc/synthesis/submodules/altera_merlin_master_agent.sv

@@ -1,4 +1,4 @@
-// (C) 2001-2019 Intel Corporation. All rights reserved.
+// (C) 2001-2018 Intel Corporation. All rights reserved.
 // Your use of Intel Corporation's design tools, logic functions and other 
 // software and tools, and its AMPP partner logic functions, and any output 
 // files from any of the foregoing (including device programming or simulation 
@@ -11,9 +11,9 @@
 // agreement for further details.
 
 
-// $Id: //acds/rel/19.1std/ip/merlin/altera_merlin_master_agent/altera_merlin_master_agent.sv#1 $
+// $Id: //acds/rel/18.1std/ip/merlin/altera_merlin_master_agent/altera_merlin_master_agent.sv#1 $
 // $Revision: #1 $
-// $Date: 2018/11/07 $
+// $Date: 2018/07/18 $
 // $Author: psgswbuild $
 
 // --------------------------------------

+ 3 - 3
nios2_uc/synthesis/submodules/altera_merlin_master_translator.sv

@@ -1,4 +1,4 @@
-// (C) 2001-2019 Intel Corporation. All rights reserved.
+// (C) 2001-2018 Intel Corporation. All rights reserved.
 // Your use of Intel Corporation's design tools, logic functions and other 
 // software and tools, and its AMPP partner logic functions, and any output 
 // files from any of the foregoing (including device programming or simulation 
@@ -11,9 +11,9 @@
 // agreement for further details.
 
 
-// $Id: //acds/rel/19.1std/ip/merlin/altera_merlin_master_translator/altera_merlin_master_translator.sv#1 $
+// $Id: //acds/rel/18.1std/ip/merlin/altera_merlin_master_translator/altera_merlin_master_translator.sv#1 $
 // $Revision: #1 $
-// $Date: 2018/11/07 $
+// $Date: 2018/07/18 $
 // $Author: psgswbuild $
 
 // --------------------------------------

+ 3 - 3
nios2_uc/synthesis/submodules/altera_merlin_slave_agent.sv

@@ -1,4 +1,4 @@
-// (C) 2001-2019 Intel Corporation. All rights reserved.
+// (C) 2001-2018 Intel Corporation. All rights reserved.
 // Your use of Intel Corporation's design tools, logic functions and other 
 // software and tools, and its AMPP partner logic functions, and any output 
 // files from any of the foregoing (including device programming or simulation 
@@ -24,9 +24,9 @@
 // agreement for further details.
 
 
-// $Id: //acds/rel/19.1std/ip/merlin/altera_merlin_slave_agent/altera_merlin_slave_agent.sv#1 $
+// $Id: //acds/rel/18.1std/ip/merlin/altera_merlin_slave_agent/altera_merlin_slave_agent.sv#1 $
 // $Revision: #1 $
-// $Date: 2018/11/07 $
+// $Date: 2018/07/18 $
 // $Author: psgswbuild $
 
 `timescale 1 ns / 1 ns

+ 3 - 3
nios2_uc/synthesis/submodules/altera_merlin_slave_translator.sv

@@ -1,4 +1,4 @@
-// (C) 2001-2019 Intel Corporation. All rights reserved.
+// (C) 2001-2018 Intel Corporation. All rights reserved.
 // Your use of Intel Corporation's design tools, logic functions and other 
 // software and tools, and its AMPP partner logic functions, and any output 
 // files from any of the foregoing (including device programming or simulation 
@@ -12,9 +12,9 @@
 
 
 
-// $Id: //acds/rel/19.1std/ip/merlin/altera_merlin_slave_translator/altera_merlin_slave_translator.sv#1 $
+// $Id: //acds/rel/18.1std/ip/merlin/altera_merlin_slave_translator/altera_merlin_slave_translator.sv#1 $
 // $Revision: #1 $
-// $Date: 2018/11/07 $
+// $Date: 2018/07/18 $
 // $Author: psgswbuild $
 
 // -------------------------------------

+ 1 - 1
nios2_uc/synthesis/submodules/altera_reset_controller.sdc

@@ -1,4 +1,4 @@
-# (C) 2001-2019 Intel Corporation. All rights reserved.
+# (C) 2001-2018 Intel Corporation. All rights reserved.
 # Your use of Intel Corporation's design tools, logic functions and other 
 # software and tools, and its AMPP partner logic functions, and any output 
 # files from any of the foregoing (including device programming or simulation 

+ 3 - 3
nios2_uc/synthesis/submodules/altera_reset_controller.v

@@ -1,4 +1,4 @@
-// (C) 2001-2019 Intel Corporation. All rights reserved.
+// (C) 2001-2018 Intel Corporation. All rights reserved.
 // Your use of Intel Corporation's design tools, logic functions and other 
 // software and tools, and its AMPP partner logic functions, and any output 
 // files from any of the foregoing (including device programming or simulation 
@@ -24,9 +24,9 @@
 // agreement for further details.
 
 
-// $Id: //acds/rel/19.1std/ip/merlin/altera_reset_controller/altera_reset_controller.v#1 $
+// $Id: //acds/rel/18.1std/ip/merlin/altera_reset_controller/altera_reset_controller.v#1 $
 // $Revision: #1 $
-// $Date: 2018/11/07 $
+// $Date: 2018/07/18 $
 // $Author: psgswbuild $
 
 // --------------------------------------

+ 3 - 3
nios2_uc/synthesis/submodules/altera_reset_synchronizer.v

@@ -1,4 +1,4 @@
-// (C) 2001-2019 Intel Corporation. All rights reserved.
+// (C) 2001-2018 Intel Corporation. All rights reserved.
 // Your use of Intel Corporation's design tools, logic functions and other 
 // software and tools, and its AMPP partner logic functions, and any output 
 // files from any of the foregoing (including device programming or simulation 
@@ -11,9 +11,9 @@
 // agreement for further details.
 
 
-// $Id: //acds/rel/19.1std/ip/merlin/altera_reset_controller/altera_reset_synchronizer.v#1 $
+// $Id: //acds/rel/18.1std/ip/merlin/altera_reset_controller/altera_reset_synchronizer.v#1 $
 // $Revision: #1 $
-// $Date: 2018/11/07 $
+// $Date: 2018/07/18 $
 // $Author: psgswbuild $
 
 // -----------------------------------------------

+ 7469 - 0
nios2_uc/synthesis/submodules/fpoint_hw_qsys.v

@@ -0,0 +1,7469 @@
+// (C) 2001-2018 Intel Corporation. All rights reserved.
+// Your use of Intel Corporation's design tools, logic functions and other 
+// software and tools, and its AMPP partner logic functions, and any output 
+// files from any of the foregoing (including device programming or simulation 
+// files), and any associated documentation or information are expressly subject 
+// to the terms and conditions of the Intel Program License Subscription 
+// Agreement, Intel FPGA IP License Agreement, or other applicable 
+// license agreement, including, without limitation, that your use is for the 
+// sole purpose of programming logic devices manufactured by Intel and sold by 
+// Intel or its authorized distributors.  Please refer to the applicable 
+// agreement for further details.
+
+
+// Copyright (C) 1991-2010 Altera Corporation
+//  Your use of Altera Corporation's design tools, logic functions 
+//  and other software and tools, and its AMPP partner logic 
+//  functions, and any output files from any of the foregoing 
+//  (including device programming or simulation files), and any 
+//  associated documentation or information are expressly subject 
+//  to the terms and conditions of the Altera Program License 
+//  Subscription Agreement, Altera MegaCore Function License 
+//  Agreement, or other applicable license agreement, including, 
+//  without limitation, that your use is for the sole purpose of 
+//  programming logic devices manufactured by Altera and sold by 
+//  Altera or its authorized distributors.  Please refer to the 
+//  applicable agreement for further details.
+
+
+
+//synthesis_resources = lpm_add_sub 4 lpm_mult 1 reg 254 
+//synopsys translate_off
+`timescale 1 ps / 1 ps
+//synopsys translate_on
+module  fpoint_hw_qsys_mult_single
+	( 
+	aclr,
+	clk_en,
+	clock,
+	dataa,
+	datab,
+	result) /* synthesis synthesis_clearbox=1 */;
+	input   aclr;
+	input   clk_en;
+	input   clock;
+	input   [31:0]  dataa;
+	input   [31:0]  datab;
+	output   [31:0]  result;
+`ifndef ALTERA_RESERVED_QIS
+// synopsys translate_off
+`endif
+	tri0   aclr;
+	tri1   clk_en;
+`ifndef ALTERA_RESERVED_QIS
+// synopsys translate_on
+`endif
+
+	reg	dataa_exp_all_one_ff_p1;
+	reg	dataa_exp_not_zero_ff_p1;
+	reg	dataa_man_not_zero_ff_p1;
+	reg	dataa_man_not_zero_ff_p2;
+	reg	datab_exp_all_one_ff_p1;
+	reg	datab_exp_not_zero_ff_p1;
+	reg	datab_man_not_zero_ff_p1;
+	reg	datab_man_not_zero_ff_p2;
+	reg	[9:0]	delay_exp2_bias;
+	reg	[9:0]	delay_exp3_bias;
+	reg	[9:0]	delay_exp_bias;
+	reg	delay_man_product_msb;
+	reg	delay_man_product_msb2;
+	reg	delay_man_product_msb_p0;
+	reg	[23:0]	delay_round;
+	reg	[8:0]	exp_add_p1;
+	reg	[9:0]	exp_adj_p1;
+	reg	[9:0]	exp_adj_p2;
+	reg	[8:0]	exp_bias_p1;
+	reg	[8:0]	exp_bias_p2;
+	reg	[7:0]	exp_result_ff;
+	reg	input_is_infinity_dffe_0;
+	reg	input_is_infinity_dffe_1;
+	reg	input_is_infinity_dffe_2;
+	reg	input_is_infinity_dffe_3;
+	reg	input_is_infinity_ff1;
+	reg	input_is_infinity_ff2;
+	reg	input_is_infinity_ff3;
+	reg	input_is_infinity_ff4;
+	reg	input_is_nan_dffe_0;
+	reg	input_is_nan_dffe_1;
+	reg	input_is_nan_dffe_2;
+	reg	input_is_nan_dffe_3;
+	reg	input_is_nan_ff1;
+	reg	input_is_nan_ff2;
+	reg	input_is_nan_ff3;
+	reg	input_is_nan_ff4;
+	reg	input_not_zero_dffe_0;
+	reg	input_not_zero_dffe_1;
+	reg	input_not_zero_dffe_2;
+	reg	input_not_zero_dffe_3;
+	reg	input_not_zero_ff1;
+	reg	input_not_zero_ff2;
+	reg	input_not_zero_ff3;
+	reg	input_not_zero_ff4;
+	reg	lsb_dffe;
+	reg	[22:0]	man_result_ff;
+	reg	man_round_carry_p0;
+	reg	[23:0]	man_round_p;
+	reg	[23:0]	man_round_p0;
+	reg	[24:0]	man_round_p2;
+	reg	round_dffe;
+	reg	[0:0]	sign_node_ff0;
+	reg	[0:0]	sign_node_ff1;
+	reg	[0:0]	sign_node_ff2;
+	reg	[0:0]	sign_node_ff3;
+	reg	[0:0]	sign_node_ff4;
+	reg	[0:0]	sign_node_ff5;
+	reg	[0:0]	sign_node_ff6;
+	reg	[0:0]	sign_node_ff7;
+	reg	[0:0]	sign_node_ff8;
+	reg	[0:0]	sign_node_ff9;
+	reg	sticky_dffe;
+	wire  [8:0]   wire_exp_add_adder_result;
+	wire  [9:0]   wire_exp_adj_adder_result;
+	wire  [9:0]   wire_exp_bias_subtr_result;
+	wire  [24:0]   wire_man_round_adder_result;
+	wire  [47:0]   wire_man_product2_mult_result;
+	wire  [9:0]  bias;
+	wire  [7:0]  dataa_exp_all_one;
+	wire  [7:0]  dataa_exp_not_zero;
+	wire  [22:0]  dataa_man_not_zero;
+	wire  [7:0]  datab_exp_all_one;
+	wire  [7:0]  datab_exp_not_zero;
+	wire  [22:0]  datab_man_not_zero;
+	wire  exp_is_inf;
+	wire  exp_is_zero;
+	wire  [9:0]  expmod;
+	wire  [7:0]  inf_num;
+	wire  lsb_bit;
+	wire  [24:0]  man_shift_full;
+	wire  [7:0]  result_exp_all_one;
+	wire  [8:0]  result_exp_not_zero;
+	wire  round_bit;
+	wire  round_carry;
+	wire  [22:0]  sticky_bit;
+
+	// synopsys translate_off
+	initial
+		dataa_exp_all_one_ff_p1 = 0;
+	// synopsys translate_on
+	always @ ( posedge clock or  posedge aclr)
+		if (aclr == 1'b1) dataa_exp_all_one_ff_p1 <= 1'b0;
+		else if  (clk_en == 1'b1)   dataa_exp_all_one_ff_p1 <= dataa_exp_all_one[7];
+	// synopsys translate_off
+	initial
+		dataa_exp_not_zero_ff_p1 = 0;
+	// synopsys translate_on
+	always @ ( posedge clock or  posedge aclr)
+		if (aclr == 1'b1) dataa_exp_not_zero_ff_p1 <= 1'b0;
+		else if  (clk_en == 1'b1)   dataa_exp_not_zero_ff_p1 <= dataa_exp_not_zero[7];
+	// synopsys translate_off
+	initial
+		dataa_man_not_zero_ff_p1 = 0;
+	// synopsys translate_on
+	always @ ( posedge clock or  posedge aclr)
+		if (aclr == 1'b1) dataa_man_not_zero_ff_p1 <= 1'b0;
+		else if  (clk_en == 1'b1)   dataa_man_not_zero_ff_p1 <= dataa_man_not_zero[10];
+	// synopsys translate_off
+	initial
+		dataa_man_not_zero_ff_p2 = 0;
+	// synopsys translate_on
+	always @ ( posedge clock or  posedge aclr)
+		if (aclr == 1'b1) dataa_man_not_zero_ff_p2 <= 1'b0;
+		else if  (clk_en == 1'b1)   dataa_man_not_zero_ff_p2 <= dataa_man_not_zero[22];
+	// synopsys translate_off
+	initial
+		datab_exp_all_one_ff_p1 = 0;
+	// synopsys translate_on
+	always @ ( posedge clock or  posedge aclr)
+		if (aclr == 1'b1) datab_exp_all_one_ff_p1 <= 1'b0;
+		else if  (clk_en == 1'b1)   datab_exp_all_one_ff_p1 <= datab_exp_all_one[7];
+	// synopsys translate_off
+	initial
+		datab_exp_not_zero_ff_p1 = 0;
+	// synopsys translate_on
+	always @ ( posedge clock or  posedge aclr)
+		if (aclr == 1'b1) datab_exp_not_zero_ff_p1 <= 1'b0;
+		else if  (clk_en == 1'b1)   datab_exp_not_zero_ff_p1 <= datab_exp_not_zero[7];
+	// synopsys translate_off
+	initial
+		datab_man_not_zero_ff_p1 = 0;
+	// synopsys translate_on
+	always @ ( posedge clock or  posedge aclr)
+		if (aclr == 1'b1) datab_man_not_zero_ff_p1 <= 1'b0;
+		else if  (clk_en == 1'b1)   datab_man_not_zero_ff_p1 <= datab_man_not_zero[10];
+	// synopsys translate_off
+	initial
+		datab_man_not_zero_ff_p2 = 0;
+	// synopsys translate_on
+	always @ ( posedge clock or  posedge aclr)
+		if (aclr == 1'b1) datab_man_not_zero_ff_p2 <= 1'b0;
+		else if  (clk_en == 1'b1)   datab_man_not_zero_ff_p2 <= datab_man_not_zero[22];
+	// synopsys translate_off
+	initial
+		delay_exp2_bias = 0;
+	// synopsys translate_on
+	always @ ( posedge clock or  posedge aclr)
+		if (aclr == 1'b1) delay_exp2_bias <= 10'b0;
+		else if  (clk_en == 1'b1)   delay_exp2_bias <= delay_exp_bias;
+	// synopsys translate_off
+	initial
+		delay_exp3_bias = 0;
+	// synopsys translate_on
+	always @ ( posedge clock or  posedge aclr)
+		if (aclr == 1'b1) delay_exp3_bias <= 10'b0;
+		else if  (clk_en == 1'b1)   delay_exp3_bias <= delay_exp2_bias;
+	// synopsys translate_off
+	initial
+		delay_exp_bias = 0;
+	// synopsys translate_on
+	always @ ( posedge clock or  posedge aclr)
+		if (aclr == 1'b1) delay_exp_bias <= 10'b0;
+		else if  (clk_en == 1'b1)   delay_exp_bias <= wire_exp_bias_subtr_result;
+	// synopsys translate_off
+	initial
+		delay_man_product_msb = 0;
+	// synopsys translate_on
+	always @ ( posedge clock or  posedge aclr)
+		if (aclr == 1'b1) delay_man_product_msb <= 1'b0;
+		else if  (clk_en == 1'b1)   delay_man_product_msb <= delay_man_product_msb_p0;
+	// synopsys translate_off
+	initial
+		delay_man_product_msb2 = 0;
+	// synopsys translate_on
+	always @ ( posedge clock or  posedge aclr)
+		if (aclr == 1'b1) delay_man_product_msb2 <= 1'b0;
+		else if  (clk_en == 1'b1)   delay_man_product_msb2 <= delay_man_product_msb;
+	// synopsys translate_off
+	initial
+		delay_man_product_msb_p0 = 0;
+	// synopsys translate_on
+	always @ ( posedge clock or  posedge aclr)
+		if (aclr == 1'b1) delay_man_product_msb_p0 <= 1'b0;
+		else if  (clk_en == 1'b1)   delay_man_product_msb_p0 <= wire_man_product2_mult_result[47];
+	// synopsys translate_off
+	initial
+		delay_round = 0;
+	// synopsys translate_on
+	always @ ( posedge clock or  posedge aclr)
+		if (aclr == 1'b1) delay_round <= 24'b0;
+		else if  (clk_en == 1'b1)   delay_round <= ((man_round_p2[23:0] & {24{(~ man_round_p2[24])}}) | (man_round_p2[24:1] & {24{man_round_p2[24]}}));
+	// synopsys translate_off
+	initial
+		exp_add_p1 = 0;
+	// synopsys translate_on
+	always @ ( posedge clock or  posedge aclr)
+		if (aclr == 1'b1) exp_add_p1 <= 9'b0;
+		else if  (clk_en == 1'b1)   exp_add_p1 <= wire_exp_add_adder_result;
+	// synopsys translate_off
+	initial
+		exp_adj_p1 = 0;
+	// synopsys translate_on
+	always @ ( posedge clock or  posedge aclr)
+		if (aclr == 1'b1) exp_adj_p1 <= 10'b0;
+		else if  (clk_en == 1'b1)   exp_adj_p1 <= delay_exp3_bias;
+	// synopsys translate_off
+	initial
+		exp_adj_p2 = 0;
+	// synopsys translate_on
+	always @ ( posedge clock or  posedge aclr)
+		if (aclr == 1'b1) exp_adj_p2 <= 10'b0;
+		else if  (clk_en == 1'b1)   exp_adj_p2 <= wire_exp_adj_adder_result;
+	// synopsys translate_off
+	initial
+		exp_bias_p1 = 0;
+	// synopsys translate_on
+	always @ ( posedge clock or  posedge aclr)
+		if (aclr == 1'b1) exp_bias_p1 <= 9'b0;
+		else if  (clk_en == 1'b1)   exp_bias_p1 <= exp_add_p1[8:0];
+	// synopsys translate_off
+	initial
+		exp_bias_p2 = 0;
+	// synopsys translate_on
+	always @ ( posedge clock or  posedge aclr)
+		if (aclr == 1'b1) exp_bias_p2 <= 9'b0;
+		else if  (clk_en == 1'b1)   exp_bias_p2 <= exp_bias_p1;
+	// synopsys translate_off
+	initial
+		exp_result_ff = 0;
+	// synopsys translate_on
+	always @ ( posedge clock or  posedge aclr)
+		if (aclr == 1'b1) exp_result_ff <= 8'b0;
+		else if  (clk_en == 1'b1)   exp_result_ff <= ((inf_num & {8{((exp_is_inf | input_is_infinity_ff4) | input_is_nan_ff4)}}) | ((exp_adj_p2[7:0] & {8{(~ exp_is_zero)}}) & {8{input_not_zero_ff4}}));
+	// synopsys translate_off
+	initial
+		input_is_infinity_dffe_0 = 0;
+	// synopsys translate_on
+	always @ ( posedge clock or  posedge aclr)
+		if (aclr == 1'b1) input_is_infinity_dffe_0 <= 1'b0;
+		else if  (clk_en == 1'b1)   input_is_infinity_dffe_0 <= ((dataa_exp_all_one_ff_p1 & (~ (dataa_man_not_zero_ff_p1 | dataa_man_not_zero_ff_p2))) | (datab_exp_all_one_ff_p1 & (~ (datab_man_not_zero_ff_p1 | datab_man_not_zero_ff_p2))));
+	// synopsys translate_off
+	initial
+		input_is_infinity_dffe_1 = 0;
+	// synopsys translate_on
+	always @ ( posedge clock or  posedge aclr)
+		if (aclr == 1'b1) input_is_infinity_dffe_1 <= 1'b0;
+		else if  (clk_en == 1'b1)   input_is_infinity_dffe_1 <= input_is_infinity_dffe_0;
+	// synopsys translate_off
+	initial
+		input_is_infinity_dffe_2 = 0;
+	// synopsys translate_on
+	always @ ( posedge clock or  posedge aclr)
+		if (aclr == 1'b1) input_is_infinity_dffe_2 <= 1'b0;
+		else if  (clk_en == 1'b1)   input_is_infinity_dffe_2 <= input_is_infinity_dffe_1;
+	// synopsys translate_off
+	initial
+		input_is_infinity_dffe_3 = 0;
+	// synopsys translate_on
+	always @ ( posedge clock or  posedge aclr)
+		if (aclr == 1'b1) input_is_infinity_dffe_3 <= 1'b0;
+		else if  (clk_en == 1'b1)   input_is_infinity_dffe_3 <= input_is_infinity_dffe_2;
+	// synopsys translate_off
+	initial
+		input_is_infinity_ff1 = 0;
+	// synopsys translate_on
+	always @ ( posedge clock or  posedge aclr)
+		if (aclr == 1'b1) input_is_infinity_ff1 <= 1'b0;
+		else if  (clk_en == 1'b1)   input_is_infinity_ff1 <= input_is_infinity_dffe_3;
+	// synopsys translate_off
+	initial
+		input_is_infinity_ff2 = 0;
+	// synopsys translate_on
+	always @ ( posedge clock or  posedge aclr)
+		if (aclr == 1'b1) input_is_infinity_ff2 <= 1'b0;
+		else if  (clk_en == 1'b1)   input_is_infinity_ff2 <= input_is_infinity_ff1;
+	// synopsys translate_off
+	initial
+		input_is_infinity_ff3 = 0;
+	// synopsys translate_on
+	always @ ( posedge clock or  posedge aclr)
+		if (aclr == 1'b1) input_is_infinity_ff3 <= 1'b0;
+		else if  (clk_en == 1'b1)   input_is_infinity_ff3 <= input_is_infinity_ff2;
+	// synopsys translate_off
+	initial
+		input_is_infinity_ff4 = 0;
+	// synopsys translate_on
+	always @ ( posedge clock or  posedge aclr)
+		if (aclr == 1'b1) input_is_infinity_ff4 <= 1'b0;
+		else if  (clk_en == 1'b1)   input_is_infinity_ff4 <= input_is_infinity_ff3;
+	// synopsys translate_off
+	initial
+		input_is_nan_dffe_0 = 0;
+	// synopsys translate_on
+	always @ ( posedge clock or  posedge aclr)
+		if (aclr == 1'b1) input_is_nan_dffe_0 <= 1'b0;
+		else if  (clk_en == 1'b1)   input_is_nan_dffe_0 <= ((dataa_exp_all_one_ff_p1 & (dataa_man_not_zero_ff_p1 | dataa_man_not_zero_ff_p2)) | (datab_exp_all_one_ff_p1 & (datab_man_not_zero_ff_p1 | datab_man_not_zero_ff_p2)));
+	// synopsys translate_off
+	initial
+		input_is_nan_dffe_1 = 0;
+	// synopsys translate_on
+	always @ ( posedge clock or  posedge aclr)
+		if (aclr == 1'b1) input_is_nan_dffe_1 <= 1'b0;
+		else if  (clk_en == 1'b1)   input_is_nan_dffe_1 <= input_is_nan_dffe_0;
+	// synopsys translate_off
+	initial
+		input_is_nan_dffe_2 = 0;
+	// synopsys translate_on
+	always @ ( posedge clock or  posedge aclr)
+		if (aclr == 1'b1) input_is_nan_dffe_2 <= 1'b0;
+		else if  (clk_en == 1'b1)   input_is_nan_dffe_2 <= input_is_nan_dffe_1;
+	// synopsys translate_off
+	initial
+		input_is_nan_dffe_3 = 0;
+	// synopsys translate_on
+	always @ ( posedge clock or  posedge aclr)
+		if (aclr == 1'b1) input_is_nan_dffe_3 <= 1'b0;
+		else if  (clk_en == 1'b1)   input_is_nan_dffe_3 <= input_is_nan_dffe_2;
+	// synopsys translate_off
+	initial
+		input_is_nan_ff1 = 0;
+	// synopsys translate_on
+	always @ ( posedge clock or  posedge aclr)
+		if (aclr == 1'b1) input_is_nan_ff1 <= 1'b0;
+		else if  (clk_en == 1'b1)   input_is_nan_ff1 <= input_is_nan_dffe_3;
+	// synopsys translate_off
+	initial
+		input_is_nan_ff2 = 0;
+	// synopsys translate_on
+	always @ ( posedge clock or  posedge aclr)
+		if (aclr == 1'b1) input_is_nan_ff2 <= 1'b0;
+		else if  (clk_en == 1'b1)   input_is_nan_ff2 <= input_is_nan_ff1;
+	// synopsys translate_off
+	initial
+		input_is_nan_ff3 = 0;
+	// synopsys translate_on
+	always @ ( posedge clock or  posedge aclr)
+		if (aclr == 1'b1) input_is_nan_ff3 <= 1'b0;
+		else if  (clk_en == 1'b1)   input_is_nan_ff3 <= input_is_nan_ff2;
+	// synopsys translate_off
+	initial
+		input_is_nan_ff4 = 0;
+	// synopsys translate_on
+	always @ ( posedge clock or  posedge aclr)
+		if (aclr == 1'b1) input_is_nan_ff4 <= 1'b0;
+		else if  (clk_en == 1'b1)   input_is_nan_ff4 <= input_is_nan_ff3;
+	// synopsys translate_off
+	initial
+		input_not_zero_dffe_0 = 0;
+	// synopsys translate_on
+	always @ ( posedge clock or  posedge aclr)
+		if (aclr == 1'b1) input_not_zero_dffe_0 <= 1'b0;
+		else if  (clk_en == 1'b1)   input_not_zero_dffe_0 <= (dataa_exp_not_zero_ff_p1 & datab_exp_not_zero_ff_p1);
+	// synopsys translate_off
+	initial
+		input_not_zero_dffe_1 = 0;
+	// synopsys translate_on
+	always @ ( posedge clock or  posedge aclr)
+		if (aclr == 1'b1) input_not_zero_dffe_1 <= 1'b0;
+		else if  (clk_en == 1'b1)   input_not_zero_dffe_1 <= input_not_zero_dffe_0;
+	// synopsys translate_off
+	initial
+		input_not_zero_dffe_2 = 0;
+	// synopsys translate_on
+	always @ ( posedge clock or  posedge aclr)
+		if (aclr == 1'b1) input_not_zero_dffe_2 <= 1'b0;
+		else if  (clk_en == 1'b1)   input_not_zero_dffe_2 <= input_not_zero_dffe_1;
+	// synopsys translate_off
+	initial
+		input_not_zero_dffe_3 = 0;
+	// synopsys translate_on
+	always @ ( posedge clock or  posedge aclr)
+		if (aclr == 1'b1) input_not_zero_dffe_3 <= 1'b0;
+		else if  (clk_en == 1'b1)   input_not_zero_dffe_3 <= input_not_zero_dffe_2;
+	// synopsys translate_off
+	initial
+		input_not_zero_ff1 = 0;
+	// synopsys translate_on
+	always @ ( posedge clock or  posedge aclr)
+		if (aclr == 1'b1) input_not_zero_ff1 <= 1'b0;
+		else if  (clk_en == 1'b1)   input_not_zero_ff1 <= input_not_zero_dffe_3;
+	// synopsys translate_off
+	initial
+		input_not_zero_ff2 = 0;
+	// synopsys translate_on
+	always @ ( posedge clock or  posedge aclr)
+		if (aclr == 1'b1) input_not_zero_ff2 <= 1'b0;
+		else if  (clk_en == 1'b1)   input_not_zero_ff2 <= input_not_zero_ff1;
+	// synopsys translate_off
+	initial
+		input_not_zero_ff3 = 0;
+	// synopsys translate_on
+	always @ ( posedge clock or  posedge aclr)
+		if (aclr == 1'b1) input_not_zero_ff3 <= 1'b0;
+		else if  (clk_en == 1'b1)   input_not_zero_ff3 <= input_not_zero_ff2;
+	// synopsys translate_off
+	initial
+		input_not_zero_ff4 = 0;
+	// synopsys translate_on
+	always @ ( posedge clock or  posedge aclr)
+		if (aclr == 1'b1) input_not_zero_ff4 <= 1'b0;
+		else if  (clk_en == 1'b1)   input_not_zero_ff4 <= input_not_zero_ff3;
+	// synopsys translate_off
+	initial
+		lsb_dffe = 0;
+	// synopsys translate_on
+	always @ ( posedge clock or  posedge aclr)
+		if (aclr == 1'b1) lsb_dffe <= 1'b0;
+		else if  (clk_en == 1'b1)   lsb_dffe <= lsb_bit;
+	// synopsys translate_off
+	initial
+		man_result_ff = 0;
+	// synopsys translate_on
+	always @ ( posedge clock or  posedge aclr)
+		if (aclr == 1'b1) man_result_ff <= 23'b0;
+		else if  (clk_en == 1'b1)   man_result_ff <= {((((((delay_round[22] & input_not_zero_ff4) & (~ input_is_infinity_ff4)) & (~ exp_is_inf)) & (~ exp_is_zero)) | (input_is_infinity_ff4 & (~ input_not_zero_ff4))) | input_is_nan_ff4), (((((delay_round[21:0] & {22{input_not_zero_ff4}}) & {22{(~ input_is_infinity_ff4)}}) & {22{(~ exp_is_inf)}}) & {22{(~ exp_is_zero)}}) & {22{(~ input_is_nan_ff4)}})};
+	// synopsys translate_off
+	initial
+		man_round_carry_p0 = 0;
+	// synopsys translate_on
+	always @ ( posedge clock or  posedge aclr)
+		if (aclr == 1'b1) man_round_carry_p0 <= 1'b0;
+		else if  (clk_en == 1'b1)   man_round_carry_p0 <= round_carry;
+	// synopsys translate_off
+	initial
+		man_round_p = 0;
+	// synopsys translate_on
+	always @ ( posedge clock or  posedge aclr)
+		if (aclr == 1'b1) man_round_p <= 24'b0;
+		else if  (clk_en == 1'b1)   man_round_p <= man_shift_full[24:1];
+	// synopsys translate_off
+	initial
+		man_round_p0 = 0;
+	// synopsys translate_on
+	always @ ( posedge clock or  posedge aclr)
+		if (aclr == 1'b1) man_round_p0 <= 24'b0;
+		else if  (clk_en == 1'b1)   man_round_p0 <= man_round_p;
+	// synopsys translate_off
+	initial
+		man_round_p2 = 0;
+	// synopsys translate_on
+	always @ ( posedge clock or  posedge aclr)
+		if (aclr == 1'b1) man_round_p2 <= 25'b0;
+		else if  (clk_en == 1'b1)   man_round_p2 <= wire_man_round_adder_result;
+	// synopsys translate_off
+	initial
+		round_dffe = 0;
+	// synopsys translate_on
+	always @ ( posedge clock or  posedge aclr)
+		if (aclr == 1'b1) round_dffe <= 1'b0;
+		else if  (clk_en == 1'b1)   round_dffe <= round_bit;
+	// synopsys translate_off
+	initial
+		sign_node_ff0 = 0;
+	// synopsys translate_on
+	always @ ( posedge clock or  posedge aclr)
+		if (aclr == 1'b1) sign_node_ff0 <= 1'b0;
+		else if  (clk_en == 1'b1)   sign_node_ff0 <= (dataa[31] ^ datab[31]);
+	// synopsys translate_off
+	initial
+		sign_node_ff1 = 0;
+	// synopsys translate_on
+	always @ ( posedge clock or  posedge aclr)
+		if (aclr == 1'b1) sign_node_ff1 <= 1'b0;
+		else if  (clk_en == 1'b1)   sign_node_ff1 <= sign_node_ff0[0:0];
+	// synopsys translate_off
+	initial
+		sign_node_ff2 = 0;
+	// synopsys translate_on
+	always @ ( posedge clock or  posedge aclr)
+		if (aclr == 1'b1) sign_node_ff2 <= 1'b0;
+		else if  (clk_en == 1'b1)   sign_node_ff2 <= sign_node_ff1[0:0];
+	// synopsys translate_off
+	initial
+		sign_node_ff3 = 0;
+	// synopsys translate_on
+	always @ ( posedge clock or  posedge aclr)
+		if (aclr == 1'b1) sign_node_ff3 <= 1'b0;
+		else if  (clk_en == 1'b1)   sign_node_ff3 <= sign_node_ff2[0:0];
+	// synopsys translate_off
+	initial
+		sign_node_ff4 = 0;
+	// synopsys translate_on
+	always @ ( posedge clock or  posedge aclr)
+		if (aclr == 1'b1) sign_node_ff4 <= 1'b0;
+		else if  (clk_en == 1'b1)   sign_node_ff4 <= sign_node_ff3[0:0];
+	// synopsys translate_off
+	initial
+		sign_node_ff5 = 0;
+	// synopsys translate_on
+	always @ ( posedge clock or  posedge aclr)
+		if (aclr == 1'b1) sign_node_ff5 <= 1'b0;
+		else if  (clk_en == 1'b1)   sign_node_ff5 <= sign_node_ff4[0:0];
+	// synopsys translate_off
+	initial
+		sign_node_ff6 = 0;
+	// synopsys translate_on
+	always @ ( posedge clock or  posedge aclr)
+		if (aclr == 1'b1) sign_node_ff6 <= 1'b0;
+		else if  (clk_en == 1'b1)   sign_node_ff6 <= sign_node_ff5[0:0];
+	// synopsys translate_off
+	initial
+		sign_node_ff7 = 0;
+	// synopsys translate_on
+	always @ ( posedge clock or  posedge aclr)
+		if (aclr == 1'b1) sign_node_ff7 <= 1'b0;
+		else if  (clk_en == 1'b1)   sign_node_ff7 <= sign_node_ff6[0:0];
+	// synopsys translate_off
+	initial
+		sign_node_ff8 = 0;
+	// synopsys translate_on
+	always @ ( posedge clock or  posedge aclr)
+		if (aclr == 1'b1) sign_node_ff8 <= 1'b0;
+		else if  (clk_en == 1'b1)   sign_node_ff8 <= sign_node_ff7[0:0];
+	// synopsys translate_off
+	initial
+		sign_node_ff9 = 0;
+	// synopsys translate_on
+	always @ ( posedge clock or  posedge aclr)
+		if (aclr == 1'b1) sign_node_ff9 <= 1'b0;
+		else if  (clk_en == 1'b1)   sign_node_ff9 <= sign_node_ff8[0:0];
+	// synopsys translate_off
+	initial
+		sticky_dffe = 0;
+	// synopsys translate_on
+	always @ ( posedge clock or  posedge aclr)
+		if (aclr == 1'b1) sticky_dffe <= 1'b0;
+		else if  (clk_en == 1'b1)   sticky_dffe <= sticky_bit[22];
+	lpm_add_sub   exp_add_adder
+	( 
+	.aclr(aclr),
+	.cin(1'b0),
+	.clken(clk_en),
+	.clock(clock),
+	.cout(),
+	.dataa({1'b0, dataa[30:23]}),
+	.datab({1'b0, datab[30:23]}),
+	.overflow(),
+	.result(wire_exp_add_adder_result)
+	`ifndef FORMAL_VERIFICATION
+	// synopsys translate_off
+	`endif
+	,
+	.add_sub(1'b1)
+	`ifndef FORMAL_VERIFICATION
+	// synopsys translate_on
+	`endif
+	);
+	defparam
+		exp_add_adder.lpm_pipeline = 1,
+		exp_add_adder.lpm_width = 9,
+		exp_add_adder.lpm_type = "lpm_add_sub";
+	lpm_add_sub   exp_adj_adder
+	( 
+	.cin(1'b0),
+	.cout(),
+	.dataa(exp_adj_p1),
+	.datab({expmod[9:0]}),
+	.overflow(),
+	.result(wire_exp_adj_adder_result)
+	`ifndef FORMAL_VERIFICATION
+	// synopsys translate_off
+	`endif
+	,
+	.aclr(1'b0),
+	.add_sub(1'b1),
+	.clken(1'b1),
+	.clock(1'b0)
+	`ifndef FORMAL_VERIFICATION
+	// synopsys translate_on
+	`endif
+	);
+	defparam
+		exp_adj_adder.lpm_pipeline = 0,
+		exp_adj_adder.lpm_width = 10,
+		exp_adj_adder.lpm_type = "lpm_add_sub";
+	lpm_add_sub   exp_bias_subtr
+	( 
+	.cout(),
+	.dataa({1'b0, exp_bias_p2}),
+	.datab({bias[9:0]}),
+	.overflow(),
+	.result(wire_exp_bias_subtr_result)
+	`ifndef FORMAL_VERIFICATION
+	// synopsys translate_off
+	`endif
+	,
+	.aclr(1'b0),
+	.add_sub(1'b1),
+	.cin(),
+	.clken(1'b1),
+	.clock(1'b0)
+	`ifndef FORMAL_VERIFICATION
+	// synopsys translate_on
+	`endif
+	);
+	defparam
+		exp_bias_subtr.lpm_direction = "SUB",
+		exp_bias_subtr.lpm_pipeline = 0,
+		exp_bias_subtr.lpm_representation = "UNSIGNED",
+		exp_bias_subtr.lpm_width = 10,
+		exp_bias_subtr.lpm_type = "lpm_add_sub";
+	lpm_add_sub   man_round_adder
+	( 
+	.cout(),
+	.dataa({1'b0, man_round_p0}),
+	.datab({{24{1'b0}}, man_round_carry_p0}),
+	.overflow(),
+	.result(wire_man_round_adder_result)
+	`ifndef FORMAL_VERIFICATION
+	// synopsys translate_off
+	`endif
+	,
+	.aclr(1'b0),
+	.add_sub(1'b1),
+	.cin(),
+	.clken(1'b1),
+	.clock(1'b0)
+	`ifndef FORMAL_VERIFICATION
+	// synopsys translate_on
+	`endif
+	);
+	defparam
+		man_round_adder.lpm_pipeline = 0,
+		man_round_adder.lpm_width = 25,
+		man_round_adder.lpm_type = "lpm_add_sub";
+	lpm_mult   man_product2_mult
+	( 
+	.aclr(aclr),
+	.clken(clk_en),
+	.clock(clock),
+	.dataa({1'b1, dataa[22:0]}),
+	.datab({1'b1, datab[22:0]}),
+	.result(wire_man_product2_mult_result)
+	`ifndef FORMAL_VERIFICATION
+	// synopsys translate_off
+	`endif
+	,
+	.sum({1{1'b0}})
+	`ifndef FORMAL_VERIFICATION
+	// synopsys translate_on
+	`endif
+	);
+	defparam
+		man_product2_mult.lpm_pipeline = 5,
+		man_product2_mult.lpm_representation = "UNSIGNED",
+		man_product2_mult.lpm_widtha = 24,
+		man_product2_mult.lpm_widthb = 24,
+		man_product2_mult.lpm_widthp = 48,
+		man_product2_mult.lpm_widths = 1,
+		man_product2_mult.lpm_type = "lpm_mult",
+		man_product2_mult.lpm_hint = "DEDICATED_MULTIPLIER_CIRCUITRY=YES";
+	assign
+		bias = {{3{1'b0}}, {7{1'b1}}},
+		dataa_exp_all_one = {(dataa[30] & dataa_exp_all_one[6]), (dataa[29] & dataa_exp_all_one[5]), (dataa[28] & dataa_exp_all_one[4]), (dataa[27] & dataa_exp_all_one[3]), (dataa[26] & dataa_exp_all_one[2]), (dataa[25] & dataa_exp_all_one[1]), (dataa[24] & dataa_exp_all_one[0]), dataa[23]},
+		dataa_exp_not_zero = {(dataa[30] | dataa_exp_not_zero[6]), (dataa[29] | dataa_exp_not_zero[5]), (dataa[28] | dataa_exp_not_zero[4]), (dataa[27] | dataa_exp_not_zero[3]), (dataa[26] | dataa_exp_not_zero[2]), (dataa[25] | dataa_exp_not_zero[1]), (dataa[24] | dataa_exp_not_zero[0]), dataa[23]},
+		dataa_man_not_zero = {(dataa[22] | dataa_man_not_zero[21]), (dataa[21] | dataa_man_not_zero[20]), (dataa[20] | dataa_man_not_zero[19]), (dataa[19] | dataa_man_not_zero[18]), (dataa[18] | dataa_man_not_zero[17]), (dataa[17] | dataa_man_not_zero[16]), (dataa[16] | dataa_man_not_zero[15]), (dataa[15] | dataa_man_not_zero[14]), (dataa[14] | dataa_man_not_zero[13]), (dataa[13] | dataa_man_not_zero[12]), (dataa[12] | dataa_man_not_zero[11]), dataa[11], (dataa[10] | dataa_man_not_zero[9]), (dataa[9] | dataa_man_not_zero[8]), (dataa[8] | dataa_man_not_zero[7]), (dataa[7] | dataa_man_not_zero[6]), (dataa[6] | dataa_man_not_zero[5]), (dataa[5] | dataa_man_not_zero[4]), (dataa[4] | dataa_man_not_zero[3]), (dataa[3] | dataa_man_not_zero[2]), (dataa[2] | dataa_man_not_zero[1]), (dataa[1] | dataa_man_not_zero[0]), dataa[0]},
+		datab_exp_all_one = {(datab[30] & datab_exp_all_one[6]), (datab[29] & datab_exp_all_one[5]), (datab[28] & datab_exp_all_one[4]), (datab[27] & datab_exp_all_one[3]), (datab[26] & datab_exp_all_one[2]), (datab[25] & datab_exp_all_one[1]), (datab[24] & datab_exp_all_one[0]), datab[23]},
+		datab_exp_not_zero = {(datab[30] | datab_exp_not_zero[6]), (datab[29] | datab_exp_not_zero[5]), (datab[28] | datab_exp_not_zero[4]), (datab[27] | datab_exp_not_zero[3]), (datab[26] | datab_exp_not_zero[2]), (datab[25] | datab_exp_not_zero[1]), (datab[24] | datab_exp_not_zero[0]), datab[23]},
+		datab_man_not_zero = {(datab[22] | datab_man_not_zero[21]), (datab[21] | datab_man_not_zero[20]), (datab[20] | datab_man_not_zero[19]), (datab[19] | datab_man_not_zero[18]), (datab[18] | datab_man_not_zero[17]), (datab[17] | datab_man_not_zero[16]), (datab[16] | datab_man_not_zero[15]), (datab[15] | datab_man_not_zero[14]), (datab[14] | datab_man_not_zero[13]), (datab[13] | datab_man_not_zero[12]), (datab[12] | datab_man_not_zero[11]), datab[11], (datab[10] | datab_man_not_zero[9]), (datab[9] | datab_man_not_zero[8]), (datab[8] | datab_man_not_zero[7]), (datab[7] | datab_man_not_zero[6]), (datab[6] | datab_man_not_zero[5]), (datab[5] | datab_man_not_zero[4]), (datab[4] | datab_man_not_zero[3]), (datab[3] | datab_man_not_zero[2]), (datab[2] | datab_man_not_zero[1]), (datab[1] | datab_man_not_zero[0]), datab[0]},
+		exp_is_inf = (((~ exp_adj_p2[9]) & exp_adj_p2[8]) | ((~ exp_adj_p2[8]) & result_exp_all_one[7])),
+		exp_is_zero = (exp_adj_p2[9] | (~ result_exp_not_zero[8])),
+		expmod = {{8{1'b0}}, (delay_man_product_msb2 & man_round_p2[24]), (delay_man_product_msb2 ^ man_round_p2[24])},
+		inf_num = {8{1'b1}},
+		lsb_bit = man_shift_full[1],
+		man_shift_full = ((wire_man_product2_mult_result[46:22] & {25{(~ wire_man_product2_mult_result[47])}}) | (wire_man_product2_mult_result[47:23] & {25{wire_man_product2_mult_result[47]}})),
+		result = {sign_node_ff9[0:0], exp_result_ff[7:0], man_result_ff[22:0]},
+		result_exp_all_one = {(result_exp_all_one[6] & exp_adj_p2[7]), (result_exp_all_one[5] & exp_adj_p2[6]), (result_exp_all_one[4] & exp_adj_p2[5]), (result_exp_all_one[3] & exp_adj_p2[4]), (result_exp_all_one[2] & exp_adj_p2[3]), (result_exp_all_one[1] & exp_adj_p2[2]), (result_exp_all_one[0] & exp_adj_p2[1]), exp_adj_p2[0]},
+		result_exp_not_zero = {(result_exp_not_zero[7] | exp_adj_p2[8]), (result_exp_not_zero[6] | exp_adj_p2[7]), (result_exp_not_zero[5] | exp_adj_p2[6]), (result_exp_not_zero[4] | exp_adj_p2[5]), (result_exp_not_zero[3] | exp_adj_p2[4]), (result_exp_not_zero[2] | exp_adj_p2[3]), (result_exp_not_zero[1] | exp_adj_p2[2]), (result_exp_not_zero[0] | exp_adj_p2[1]), exp_adj_p2[0]},
+		round_bit = man_shift_full[0],
+		round_carry = (round_dffe & (lsb_dffe | sticky_dffe)),
+		sticky_bit = {(sticky_bit[21] | (wire_man_product2_mult_result[47] & wire_man_product2_mult_result[22])), (sticky_bit[20] | wire_man_product2_mult_result[21]), (sticky_bit[19] | wire_man_product2_mult_result[20]), (sticky_bit[18] | wire_man_product2_mult_result[19]), (sticky_bit[17] | wire_man_product2_mult_result[18]), (sticky_bit[16] | wire_man_product2_mult_result[17]), (sticky_bit[15] | wire_man_product2_mult_result[16]), (sticky_bit[14] | wire_man_product2_mult_result[15]), (sticky_bit[13] | wire_man_product2_mult_result[14]), (sticky_bit[12] | wire_man_product2_mult_result[13]), (sticky_bit[11] | wire_man_product2_mult_result[12]), (sticky_bit[10] | wire_man_product2_mult_result[11]), (sticky_bit[9] | wire_man_product2_mult_result[10]), (sticky_bit[8] | wire_man_product2_mult_result[9]), (sticky_bit[7] | wire_man_product2_mult_result[8]), (sticky_bit[6] | wire_man_product2_mult_result[7]), (sticky_bit[5] | wire_man_product2_mult_result[6]), (sticky_bit[4] | wire_man_product2_mult_result[5]), (sticky_bit[3] | wire_man_product2_mult_result[4]), (sticky_bit[2] | wire_man_product2_mult_result[3]), (sticky_bit[1] | wire_man_product2_mult_result[2]), (sticky_bit[0] | wire_man_product2_mult_result[1]), wire_man_product2_mult_result[0]};
+endmodule //fpoint_hw_qsys_mult_single
+//VALID FILE
+
+//altfp_add_sub CBX_AUTO_BLACKBOX="ON" CBX_SINGLE_OUTPUT_FILE="ON" DENORMAL_SUPPORT="NO" DEVICE_FAMILY="STRATIXIV" DIRECTION="VARIABLE" EXCEPTION_HANDLING="NO" PIPELINE=8 REDUCED_FUNCTIONALITY="NO" SPEED_OPTIMIZED="YES" WIDTH_EXP=8 WIDTH_MAN=23 aclr add_sub clk_en clock dataa datab result
+//VERSION_BEGIN 10.1 cbx_altbarrel_shift 2010:09:06:21:07:24:PN cbx_altfp_add_sub 2010:09:06:21:07:24:PN cbx_altpriority_encoder 2010:09:06:21:07:25:PN cbx_cycloneii 2010:09:06:21:07:25:PN cbx_lpm_add_sub 2010:09:06:21:07:25:PN cbx_lpm_compare 2010:09:06:21:07:25:PN cbx_mgl 2010:09:06:21:22:18:PN cbx_stratix 2010:09:06:21:07:25:PN cbx_stratixii 2010:09:06:21:07:25:PN  VERSION_END
+// synthesis VERILOG_INPUT_VERSION VERILOG_2001
+// altera message_off 10463
+
+
+
+// Copyright (C) 1991-2010 Altera Corporation
+//  Your use of Altera Corporation's design tools, logic functions 
+//  and other software and tools, and its AMPP partner logic 
+//  functions, and any output files from any of the foregoing 
+//  (including device programming or simulation files), and any 
+//  associated documentation or information are expressly subject 
+//  to the terms and conditions of the Altera Program License 
+//  Subscription Agreement, Altera MegaCore Function License 
+//  Agreement, or other applicable license agreement, including, 
+//  without limitation, that your use is for the sole purpose of 
+//  programming logic devices manufactured by Altera and sold by 
+//  Altera or its authorized distributors.  Please refer to the 
+//  applicable agreement for further details.
+
+
+
+
+//altbarrel_shift CBX_AUTO_BLACKBOX="ON" CBX_SINGLE_OUTPUT_FILE="ON" DEVICE_FAMILY="STRATIXIV" PIPELINE=1 SHIFTDIR="LEFT" WIDTH=26 WIDTHDIST=5 aclr clk_en clock data distance result
+//VERSION_BEGIN 10.1 cbx_altbarrel_shift 2010:09:06:21:07:24:PN cbx_mgl 2010:09:06:21:22:18:PN  VERSION_END
+
+//synthesis_resources = reg 27 
+//synopsys translate_off
+`timescale 1 ps / 1 ps
+//synopsys translate_on
+module  fpoint_hw_qsys_addsub_single_altbarrel_shift_fjg
+	( 
+	aclr,
+	clk_en,
+	clock,
+	data,
+	distance,
+	result) /* synthesis synthesis_clearbox=1 */;
+	input   aclr;
+	input   clk_en;
+	input   clock;
+	input   [25:0]  data;
+	input   [4:0]  distance;
+	output   [25:0]  result;
+`ifndef ALTERA_RESERVED_QIS
+// synopsys translate_off
+`endif
+	tri0   aclr;
+	tri1   clk_en;
+	tri0   clock;
+`ifndef ALTERA_RESERVED_QIS
+// synopsys translate_on
+`endif
+
+	reg	[0:0]	dir_pipe;
+	reg	[25:0]	sbit_piper1d;
+	wire  [5:0]  dir_w;
+	wire  direction_w;
+	wire  [15:0]  pad_w;
+	wire  [155:0]  sbit_w;
+	wire  [4:0]  sel_w;
+	wire  [129:0]  smux_w;
+
+	// synopsys translate_off
+	initial
+		dir_pipe = 0;
+	// synopsys translate_on
+	always @ ( posedge clock or  posedge aclr)
+		if (aclr == 1'b1) dir_pipe <= 1'b0;
+		else if  (clk_en == 1'b1)   dir_pipe <= {dir_w[4]};
+	// synopsys translate_off
+	initial
+		sbit_piper1d = 0;
+	// synopsys translate_on
+	always @ ( posedge clock or  posedge aclr)
+		if (aclr == 1'b1) sbit_piper1d <= 26'b0;
+		else if  (clk_en == 1'b1)   sbit_piper1d <= smux_w[129:104];
+	assign
+		dir_w = {dir_pipe[0], dir_w[3:0], direction_w},
+		direction_w = 1'b0,
+		pad_w = {16{1'b0}},
+		result = sbit_w[155:130],
+		sbit_w = {sbit_piper1d, smux_w[103:0], data},
+		sel_w = {distance[4:0]},
+		smux_w = {((({26{(sel_w[4] & (~ dir_w[4]))}} & {sbit_w[113:104], pad_w[15:0]}) | ({26{(sel_w[4] & dir_w[4])}} & {pad_w[15:0], sbit_w[129:120]})) | ({26{(~ sel_w[4])}} & sbit_w[129:104])), ((({26{(sel_w[3] & (~ dir_w[3]))}} & {sbit_w[95:78], pad_w[7:0]}) | ({26{(sel_w[3] & dir_w[3])}} & {pad_w[7:0], sbit_w[103:86]})) | ({26{(~ sel_w[3])}} & sbit_w[103:78])), ((({26{(sel_w[2] & (~ dir_w[2]))}} & {sbit_w[73:52], pad_w[3:0]}) | ({26{(sel_w[2] & dir_w[2])}} & {pad_w[3:0], sbit_w[77:56]})) | ({26{(~ sel_w[2])}} & sbit_w[77:52])), ((({26{(sel_w[1] & (~ dir_w[1]))}} & {sbit_w[49:26], pad_w[1:0]}) | ({26{(sel_w[1] & dir_w[1])}} & {pad_w[1:0], sbit_w[51:28]})) | ({26{(~ sel_w[1])}} & sbit_w[51:26])), ((({26{(sel_w[0] & (~ dir_w[0]))}} & {sbit_w[24:0], pad_w[0]}) | ({26{(sel_w[0] & dir_w[0])}} & {pad_w[0], sbit_w[25:1]})) | ({26{(~ sel_w[0])}} & sbit_w[25:0]))};
+endmodule //fpoint_hw_qsys_addsub_single_altbarrel_shift_fjg
+
+
+//altbarrel_shift CBX_AUTO_BLACKBOX="ON" CBX_SINGLE_OUTPUT_FILE="ON" DEVICE_FAMILY="STRATIXIV" SHIFTDIR="RIGHT" WIDTH=26 WIDTHDIST=5 data distance result
+//VERSION_BEGIN 10.1 cbx_altbarrel_shift 2010:09:06:21:07:24:PN cbx_mgl 2010:09:06:21:22:18:PN  VERSION_END
+
+//synthesis_resources = 
+//synopsys translate_off
+`timescale 1 ps / 1 ps
+//synopsys translate_on
+module  fpoint_hw_qsys_addsub_single_altbarrel_shift_44e
+	( 
+	data,
+	distance,
+	result) /* synthesis synthesis_clearbox=1 */;
+	input   [25:0]  data;
+	input   [4:0]  distance;
+	output   [25:0]  result;
+
+	wire  [5:0]  dir_w;
+	wire  direction_w;
+	wire  [15:0]  pad_w;
+	wire  [155:0]  sbit_w;
+	wire  [4:0]  sel_w;
+	wire  [129:0]  smux_w;
+
+	assign
+		dir_w = {dir_w[4:0], direction_w},
+		direction_w = 1'b1,
+		pad_w = {16{1'b0}},
+		result = sbit_w[155:130],
+		sbit_w = {smux_w[129:0], data},
+		sel_w = {distance[4:0]},
+		smux_w = {((({26{(sel_w[4] & (~ dir_w[4]))}} & {sbit_w[113:104], pad_w[15:0]}) | ({26{(sel_w[4] & dir_w[4])}} & {pad_w[15:0], sbit_w[129:120]})) | ({26{(~ sel_w[4])}} & sbit_w[129:104])), ((({26{(sel_w[3] & (~ dir_w[3]))}} & {sbit_w[95:78], pad_w[7:0]}) | ({26{(sel_w[3] & dir_w[3])}} & {pad_w[7:0], sbit_w[103:86]})) | ({26{(~ sel_w[3])}} & sbit_w[103:78])), ((({26{(sel_w[2] & (~ dir_w[2]))}} & {sbit_w[73:52], pad_w[3:0]}) | ({26{(sel_w[2] & dir_w[2])}} & {pad_w[3:0], sbit_w[77:56]})) | ({26{(~ sel_w[2])}} & sbit_w[77:52])), ((({26{(sel_w[1] & (~ dir_w[1]))}} & {sbit_w[49:26], pad_w[1:0]}) | ({26{(sel_w[1] & dir_w[1])}} & {pad_w[1:0], sbit_w[51:28]})) | ({26{(~ sel_w[1])}} & sbit_w[51:26])), ((({26{(sel_w[0] & (~ dir_w[0]))}} & {sbit_w[24:0], pad_w[0]}) | ({26{(sel_w[0] & dir_w[0])}} & {pad_w[0], sbit_w[25:1]})) | ({26{(~ sel_w[0])}} & sbit_w[25:0]))};
+endmodule //fpoint_hw_qsys_addsub_single_altbarrel_shift_44e
+
+
+//altpriority_encoder CBX_AUTO_BLACKBOX="ON" CBX_SINGLE_OUTPUT_FILE="ON" WIDTH=32 WIDTHAD=5 data q
+//VERSION_BEGIN 10.1 cbx_altpriority_encoder 2010:09:06:21:07:25:PN cbx_mgl 2010:09:06:21:22:18:PN  VERSION_END
+
+
+//altpriority_encoder CBX_AUTO_BLACKBOX="ON" CBX_SINGLE_OUTPUT_FILE="ON" LSB_PRIORITY="NO" WIDTH=16 WIDTHAD=4 data q
+//VERSION_BEGIN 10.1 cbx_altpriority_encoder 2010:09:06:21:07:25:PN cbx_mgl 2010:09:06:21:22:18:PN  VERSION_END
+
+
+//altpriority_encoder CBX_AUTO_BLACKBOX="ON" CBX_SINGLE_OUTPUT_FILE="ON" LSB_PRIORITY="NO" WIDTH=8 WIDTHAD=3 data q zero
+//VERSION_BEGIN 10.1 cbx_altpriority_encoder 2010:09:06:21:07:25:PN cbx_mgl 2010:09:06:21:22:18:PN  VERSION_END
+
+
+//altpriority_encoder CBX_AUTO_BLACKBOX="ON" CBX_SINGLE_OUTPUT_FILE="ON" LSB_PRIORITY="NO" WIDTH=4 WIDTHAD=2 data q zero
+//VERSION_BEGIN 10.1 cbx_altpriority_encoder 2010:09:06:21:07:25:PN cbx_mgl 2010:09:06:21:22:18:PN  VERSION_END
+
+
+//altpriority_encoder CBX_AUTO_BLACKBOX="ON" CBX_SINGLE_OUTPUT_FILE="ON" LSB_PRIORITY="NO" WIDTH=2 WIDTHAD=1 data q zero
+//VERSION_BEGIN 10.1 cbx_altpriority_encoder 2010:09:06:21:07:25:PN cbx_mgl 2010:09:06:21:22:18:PN  VERSION_END
+
+//synthesis_resources = 
+//synopsys translate_off
+`timescale 1 ps / 1 ps
+//synopsys translate_on
+module  fpoint_hw_qsys_addsub_single_altpriority_encoder_i0b
+	( 
+	data,
+	q,
+	zero) /* synthesis synthesis_clearbox=1 */;
+	input   [1:0]  data;
+	output   [0:0]  q;
+	output   zero;
+
+
+	assign
+		q = {data[1]},
+		zero = (~ (data[0] | data[1]));
+endmodule //fpoint_hw_qsys_addsub_single_altpriority_encoder_i0b
+
+//synthesis_resources = 
+//synopsys translate_off
+`timescale 1 ps / 1 ps
+//synopsys translate_on
+module  fpoint_hw_qsys_addsub_single_altpriority_encoder_l0b
+	( 
+	data,
+	q,
+	zero) /* synthesis synthesis_clearbox=1 */;
+	input   [3:0]  data;
+	output   [1:0]  q;
+	output   zero;
+
+	wire  [0:0]   wire_altpriority_encoder13_q;
+	wire  wire_altpriority_encoder13_zero;
+	wire  [0:0]   wire_altpriority_encoder14_q;
+	wire  wire_altpriority_encoder14_zero;
+
+	fpoint_hw_qsys_addsub_single_altpriority_encoder_i0b   altpriority_encoder13
+	( 
+	.data(data[1:0]),
+	.q(wire_altpriority_encoder13_q),
+	.zero(wire_altpriority_encoder13_zero));
+	fpoint_hw_qsys_addsub_single_altpriority_encoder_i0b   altpriority_encoder14
+	( 
+	.data(data[3:2]),
+	.q(wire_altpriority_encoder14_q),
+	.zero(wire_altpriority_encoder14_zero));
+	assign
+		q = {(~ wire_altpriority_encoder14_zero), ((wire_altpriority_encoder14_zero & wire_altpriority_encoder13_q) | ((~ wire_altpriority_encoder14_zero) & wire_altpriority_encoder14_q))},
+		zero = (wire_altpriority_encoder13_zero & wire_altpriority_encoder14_zero);
+endmodule //fpoint_hw_qsys_addsub_single_altpriority_encoder_l0b
+
+//synthesis_resources = 
+//synopsys translate_off
+`timescale 1 ps / 1 ps
+//synopsys translate_on
+module  fpoint_hw_qsys_addsub_single_altpriority_encoder_q0b
+	( 
+	data,
+	q,
+	zero) /* synthesis synthesis_clearbox=1 */;
+	input   [7:0]  data;
+	output   [2:0]  q;
+	output   zero;
+
+	wire  [1:0]   wire_altpriority_encoder11_q;
+	wire  wire_altpriority_encoder11_zero;
+	wire  [1:0]   wire_altpriority_encoder12_q;
+	wire  wire_altpriority_encoder12_zero;
+
+	fpoint_hw_qsys_addsub_single_altpriority_encoder_l0b   altpriority_encoder11
+	( 
+	.data(data[3:0]),
+	.q(wire_altpriority_encoder11_q),
+	.zero(wire_altpriority_encoder11_zero));
+	fpoint_hw_qsys_addsub_single_altpriority_encoder_l0b   altpriority_encoder12
+	( 
+	.data(data[7:4]),
+	.q(wire_altpriority_encoder12_q),
+	.zero(wire_altpriority_encoder12_zero));
+	assign
+		q = {(~ wire_altpriority_encoder12_zero), (({2{wire_altpriority_encoder12_zero}} & wire_altpriority_encoder11_q) | ({2{(~ wire_altpriority_encoder12_zero)}} & wire_altpriority_encoder12_q))},
+		zero = (wire_altpriority_encoder11_zero & wire_altpriority_encoder12_zero);
+endmodule //fpoint_hw_qsys_addsub_single_altpriority_encoder_q0b
+
+
+//altpriority_encoder CBX_AUTO_BLACKBOX="ON" CBX_SINGLE_OUTPUT_FILE="ON" LSB_PRIORITY="NO" WIDTH=8 WIDTHAD=3 data q
+//VERSION_BEGIN 10.1 cbx_altpriority_encoder 2010:09:06:21:07:25:PN cbx_mgl 2010:09:06:21:22:18:PN  VERSION_END
+
+
+//altpriority_encoder CBX_AUTO_BLACKBOX="ON" CBX_SINGLE_OUTPUT_FILE="ON" LSB_PRIORITY="NO" WIDTH=4 WIDTHAD=2 data q
+//VERSION_BEGIN 10.1 cbx_altpriority_encoder 2010:09:06:21:07:25:PN cbx_mgl 2010:09:06:21:22:18:PN  VERSION_END
+
+
+//altpriority_encoder CBX_AUTO_BLACKBOX="ON" CBX_SINGLE_OUTPUT_FILE="ON" LSB_PRIORITY="NO" WIDTH=2 WIDTHAD=1 data q
+//VERSION_BEGIN 10.1 cbx_altpriority_encoder 2010:09:06:21:07:25:PN cbx_mgl 2010:09:06:21:22:18:PN  VERSION_END
+
+//synthesis_resources = 
+//synopsys translate_off
+`timescale 1 ps / 1 ps
+//synopsys translate_on
+module  fpoint_hw_qsys_addsub_single_altpriority_encoder_iha
+	( 
+	data,
+	q) /* synthesis synthesis_clearbox=1 */;
+	input   [1:0]  data;
+	output   [0:0]  q;
+
+
+	assign
+		q = {data[1]};
+endmodule //fpoint_hw_qsys_addsub_single_altpriority_encoder_iha
+
+//synthesis_resources = 
+//synopsys translate_off
+`timescale 1 ps / 1 ps
+//synopsys translate_on
+module  fpoint_hw_qsys_addsub_single_altpriority_encoder_lha
+	( 
+	data,
+	q) /* synthesis synthesis_clearbox=1 */;
+	input   [3:0]  data;
+	output   [1:0]  q;
+
+	wire  [0:0]   wire_altpriority_encoder17_q;
+	wire  [0:0]   wire_altpriority_encoder18_q;
+	wire  wire_altpriority_encoder18_zero;
+
+	fpoint_hw_qsys_addsub_single_altpriority_encoder_iha   altpriority_encoder17
+	( 
+	.data(data[1:0]),
+	.q(wire_altpriority_encoder17_q));
+	fpoint_hw_qsys_addsub_single_altpriority_encoder_i0b   altpriority_encoder18
+	( 
+	.data(data[3:2]),
+	.q(wire_altpriority_encoder18_q),
+	.zero(wire_altpriority_encoder18_zero));
+	assign
+		q = {(~ wire_altpriority_encoder18_zero), ((wire_altpriority_encoder18_zero & wire_altpriority_encoder17_q) | ((~ wire_altpriority_encoder18_zero) & wire_altpriority_encoder18_q))};
+endmodule //fpoint_hw_qsys_addsub_single_altpriority_encoder_lha
+
+//synthesis_resources = 
+//synopsys translate_off
+`timescale 1 ps / 1 ps
+//synopsys translate_on
+module  fpoint_hw_qsys_addsub_single_altpriority_encoder_qha
+	( 
+	data,
+	q) /* synthesis synthesis_clearbox=1 */;
+	input   [7:0]  data;
+	output   [2:0]  q;
+
+	wire  [1:0]   wire_altpriority_encoder15_q;
+	wire  [1:0]   wire_altpriority_encoder16_q;
+	wire  wire_altpriority_encoder16_zero;
+
+	fpoint_hw_qsys_addsub_single_altpriority_encoder_lha   altpriority_encoder15
+	( 
+	.data(data[3:0]),
+	.q(wire_altpriority_encoder15_q));
+	fpoint_hw_qsys_addsub_single_altpriority_encoder_l0b   altpriority_encoder16
+	( 
+	.data(data[7:4]),
+	.q(wire_altpriority_encoder16_q),
+	.zero(wire_altpriority_encoder16_zero));
+	assign
+		q = {(~ wire_altpriority_encoder16_zero), (({2{wire_altpriority_encoder16_zero}} & wire_altpriority_encoder15_q) | ({2{(~ wire_altpriority_encoder16_zero)}} & wire_altpriority_encoder16_q))};
+endmodule //fpoint_hw_qsys_addsub_single_altpriority_encoder_qha
+
+//synthesis_resources = 
+//synopsys translate_off
+`timescale 1 ps / 1 ps
+//synopsys translate_on
+module  fpoint_hw_qsys_addsub_single_altpriority_encoder_aja
+	( 
+	data,
+	q) /* synthesis synthesis_clearbox=1 */;
+	input   [15:0]  data;
+	output   [3:0]  q;
+
+	wire  [2:0]   wire_altpriority_encoder10_q;
+	wire  wire_altpriority_encoder10_zero;
+	wire  [2:0]   wire_altpriority_encoder9_q;
+
+	fpoint_hw_qsys_addsub_single_altpriority_encoder_q0b   altpriority_encoder10
+	( 
+	.data(data[15:8]),
+	.q(wire_altpriority_encoder10_q),
+	.zero(wire_altpriority_encoder10_zero));
+	fpoint_hw_qsys_addsub_single_altpriority_encoder_qha   altpriority_encoder9
+	( 
+	.data(data[7:0]),
+	.q(wire_altpriority_encoder9_q));
+	assign
+		q = {(~ wire_altpriority_encoder10_zero), (({3{wire_altpriority_encoder10_zero}} & wire_altpriority_encoder9_q) | ({3{(~ wire_altpriority_encoder10_zero)}} & wire_altpriority_encoder10_q))};
+endmodule //fpoint_hw_qsys_addsub_single_altpriority_encoder_aja
+
+
+//altpriority_encoder CBX_AUTO_BLACKBOX="ON" CBX_SINGLE_OUTPUT_FILE="ON" LSB_PRIORITY="NO" WIDTH=16 WIDTHAD=4 data q zero
+//VERSION_BEGIN 10.1 cbx_altpriority_encoder 2010:09:06:21:07:25:PN cbx_mgl 2010:09:06:21:22:18:PN  VERSION_END
+
+//synthesis_resources = 
+//synopsys translate_off
+`timescale 1 ps / 1 ps
+//synopsys translate_on
+module  fpoint_hw_qsys_addsub_single_altpriority_encoder_a2b
+	( 
+	data,
+	q,
+	zero) /* synthesis synthesis_clearbox=1 */;
+	input   [15:0]  data;
+	output   [3:0]  q;
+	output   zero;
+
+	wire  [2:0]   wire_altpriority_encoder19_q;
+	wire  wire_altpriority_encoder19_zero;
+	wire  [2:0]   wire_altpriority_encoder20_q;
+	wire  wire_altpriority_encoder20_zero;
+
+	fpoint_hw_qsys_addsub_single_altpriority_encoder_q0b   altpriority_encoder19
+	( 
+	.data(data[7:0]),
+	.q(wire_altpriority_encoder19_q),
+	.zero(wire_altpriority_encoder19_zero));
+	fpoint_hw_qsys_addsub_single_altpriority_encoder_q0b   altpriority_encoder20
+	( 
+	.data(data[15:8]),
+	.q(wire_altpriority_encoder20_q),
+	.zero(wire_altpriority_encoder20_zero));
+	assign
+		q = {(~ wire_altpriority_encoder20_zero), (({3{wire_altpriority_encoder20_zero}} & wire_altpriority_encoder19_q) | ({3{(~ wire_altpriority_encoder20_zero)}} & wire_altpriority_encoder20_q))},
+		zero = (wire_altpriority_encoder19_zero & wire_altpriority_encoder20_zero);
+endmodule //fpoint_hw_qsys_addsub_single_altpriority_encoder_a2b
+
+//synthesis_resources = 
+//synopsys translate_off
+`timescale 1 ps / 1 ps
+//synopsys translate_on
+module  fpoint_hw_qsys_addsub_single_altpriority_encoder_9u8
+	( 
+	data,
+	q) /* synthesis synthesis_clearbox=1 */;
+	input   [31:0]  data;
+	output   [4:0]  q;
+
+	wire  [3:0]   wire_altpriority_encoder7_q;
+	wire  [3:0]   wire_altpriority_encoder8_q;
+	wire  wire_altpriority_encoder8_zero;
+
+	fpoint_hw_qsys_addsub_single_altpriority_encoder_aja   altpriority_encoder7
+	( 
+	.data(data[15:0]),
+	.q(wire_altpriority_encoder7_q));
+	fpoint_hw_qsys_addsub_single_altpriority_encoder_a2b   altpriority_encoder8
+	( 
+	.data(data[31:16]),
+	.q(wire_altpriority_encoder8_q),
+	.zero(wire_altpriority_encoder8_zero));
+	assign
+		q = {(~ wire_altpriority_encoder8_zero), (({4{wire_altpriority_encoder8_zero}} & wire_altpriority_encoder7_q) | ({4{(~ wire_altpriority_encoder8_zero)}} & wire_altpriority_encoder8_q))};
+endmodule //fpoint_hw_qsys_addsub_single_altpriority_encoder_9u8
+
+
+//altpriority_encoder CBX_AUTO_BLACKBOX="ON" CBX_SINGLE_OUTPUT_FILE="ON" LSB_PRIORITY="YES" WIDTH=32 WIDTHAD=5 data q
+//VERSION_BEGIN 10.1 cbx_altpriority_encoder 2010:09:06:21:07:25:PN cbx_mgl 2010:09:06:21:22:18:PN  VERSION_END
+
+
+//altpriority_encoder CBX_AUTO_BLACKBOX="ON" CBX_SINGLE_OUTPUT_FILE="ON" LSB_PRIORITY="YES" WIDTH=16 WIDTHAD=4 data q zero
+//VERSION_BEGIN 10.1 cbx_altpriority_encoder 2010:09:06:21:07:25:PN cbx_mgl 2010:09:06:21:22:18:PN  VERSION_END
+
+
+//altpriority_encoder CBX_AUTO_BLACKBOX="ON" CBX_SINGLE_OUTPUT_FILE="ON" LSB_PRIORITY="YES" WIDTH=8 WIDTHAD=3 data q zero
+//VERSION_BEGIN 10.1 cbx_altpriority_encoder 2010:09:06:21:07:25:PN cbx_mgl 2010:09:06:21:22:18:PN  VERSION_END
+
+
+//altpriority_encoder CBX_AUTO_BLACKBOX="ON" CBX_SINGLE_OUTPUT_FILE="ON" LSB_PRIORITY="YES" WIDTH=4 WIDTHAD=2 data q zero
+//VERSION_BEGIN 10.1 cbx_altpriority_encoder 2010:09:06:21:07:25:PN cbx_mgl 2010:09:06:21:22:18:PN  VERSION_END
+
+
+//altpriority_encoder CBX_AUTO_BLACKBOX="ON" CBX_SINGLE_OUTPUT_FILE="ON" LSB_PRIORITY="YES" WIDTH=2 WIDTHAD=1 data q zero
+//VERSION_BEGIN 10.1 cbx_altpriority_encoder 2010:09:06:21:07:25:PN cbx_mgl 2010:09:06:21:22:18:PN  VERSION_END
+
+//synthesis_resources = 
+//synopsys translate_off
+`timescale 1 ps / 1 ps
+//synopsys translate_on
+module  fpoint_hw_qsys_addsub_single_altpriority_encoder_64b
+	( 
+	data,
+	q,
+	zero) /* synthesis synthesis_clearbox=1 */;
+	input   [1:0]  data;
+	output   [0:0]  q;
+	output   zero;
+
+
+	assign
+		q = {(~ data[0])},
+		zero = (~ (data[0] | data[1]));
+endmodule //fpoint_hw_qsys_addsub_single_altpriority_encoder_64b
+
+//synthesis_resources = 
+//synopsys translate_off
+`timescale 1 ps / 1 ps
+//synopsys translate_on
+module  fpoint_hw_qsys_addsub_single_altpriority_encoder_94b
+	( 
+	data,
+	q,
+	zero) /* synthesis synthesis_clearbox=1 */;
+	input   [3:0]  data;
+	output   [1:0]  q;
+	output   zero;
+
+	wire  [0:0]   wire_altpriority_encoder27_q;
+	wire  wire_altpriority_encoder27_zero;
+	wire  [0:0]   wire_altpriority_encoder28_q;
+	wire  wire_altpriority_encoder28_zero;
+
+	fpoint_hw_qsys_addsub_single_altpriority_encoder_64b   altpriority_encoder27
+	( 
+	.data(data[1:0]),
+	.q(wire_altpriority_encoder27_q),
+	.zero(wire_altpriority_encoder27_zero));
+	fpoint_hw_qsys_addsub_single_altpriority_encoder_64b   altpriority_encoder28
+	( 
+	.data(data[3:2]),
+	.q(wire_altpriority_encoder28_q),
+	.zero(wire_altpriority_encoder28_zero));
+	assign
+		q = {wire_altpriority_encoder27_zero, ((wire_altpriority_encoder27_zero & wire_altpriority_encoder28_q) | ((~ wire_altpriority_encoder27_zero) & wire_altpriority_encoder27_q))},
+		zero = (wire_altpriority_encoder27_zero & wire_altpriority_encoder28_zero);
+endmodule //fpoint_hw_qsys_addsub_single_altpriority_encoder_94b
+
+//synthesis_resources = 
+//synopsys translate_off
+`timescale 1 ps / 1 ps
+//synopsys translate_on
+module  fpoint_hw_qsys_addsub_single_altpriority_encoder_e4b
+	( 
+	data,
+	q,
+	zero) /* synthesis synthesis_clearbox=1 */;
+	input   [7:0]  data;
+	output   [2:0]  q;
+	output   zero;
+
+	wire  [1:0]   wire_altpriority_encoder25_q;
+	wire  wire_altpriority_encoder25_zero;
+	wire  [1:0]   wire_altpriority_encoder26_q;
+	wire  wire_altpriority_encoder26_zero;
+
+	fpoint_hw_qsys_addsub_single_altpriority_encoder_94b   altpriority_encoder25
+	( 
+	.data(data[3:0]),
+	.q(wire_altpriority_encoder25_q),
+	.zero(wire_altpriority_encoder25_zero));
+	fpoint_hw_qsys_addsub_single_altpriority_encoder_94b   altpriority_encoder26
+	( 
+	.data(data[7:4]),
+	.q(wire_altpriority_encoder26_q),
+	.zero(wire_altpriority_encoder26_zero));
+	assign
+		q = {wire_altpriority_encoder25_zero, (({2{wire_altpriority_encoder25_zero}} & wire_altpriority_encoder26_q) | ({2{(~ wire_altpriority_encoder25_zero)}} & wire_altpriority_encoder25_q))},
+		zero = (wire_altpriority_encoder25_zero & wire_altpriority_encoder26_zero);
+endmodule //fpoint_hw_qsys_addsub_single_altpriority_encoder_e4b
+
+//synthesis_resources = 
+//synopsys translate_off
+`timescale 1 ps / 1 ps
+//synopsys translate_on
+module  fpoint_hw_qsys_addsub_single_altpriority_encoder_u5b
+	( 
+	data,
+	q,
+	zero) /* synthesis synthesis_clearbox=1 */;
+	input   [15:0]  data;
+	output   [3:0]  q;
+	output   zero;
+
+	wire  [2:0]   wire_altpriority_encoder23_q;
+	wire  wire_altpriority_encoder23_zero;
+	wire  [2:0]   wire_altpriority_encoder24_q;
+	wire  wire_altpriority_encoder24_zero;
+
+	fpoint_hw_qsys_addsub_single_altpriority_encoder_e4b   altpriority_encoder23
+	( 
+	.data(data[7:0]),
+	.q(wire_altpriority_encoder23_q),
+	.zero(wire_altpriority_encoder23_zero));
+	fpoint_hw_qsys_addsub_single_altpriority_encoder_e4b   altpriority_encoder24
+	( 
+	.data(data[15:8]),
+	.q(wire_altpriority_encoder24_q),
+	.zero(wire_altpriority_encoder24_zero));
+	assign
+		q = {wire_altpriority_encoder23_zero, (({3{wire_altpriority_encoder23_zero}} & wire_altpriority_encoder24_q) | ({3{(~ wire_altpriority_encoder23_zero)}} & wire_altpriority_encoder23_q))},
+		zero = (wire_altpriority_encoder23_zero & wire_altpriority_encoder24_zero);
+endmodule //fpoint_hw_qsys_addsub_single_altpriority_encoder_u5b
+
+
+//altpriority_encoder CBX_AUTO_BLACKBOX="ON" CBX_SINGLE_OUTPUT_FILE="ON" LSB_PRIORITY="YES" WIDTH=16 WIDTHAD=4 data q
+//VERSION_BEGIN 10.1 cbx_altpriority_encoder 2010:09:06:21:07:25:PN cbx_mgl 2010:09:06:21:22:18:PN  VERSION_END
+
+
+//altpriority_encoder CBX_AUTO_BLACKBOX="ON" CBX_SINGLE_OUTPUT_FILE="ON" LSB_PRIORITY="YES" WIDTH=8 WIDTHAD=3 data q
+//VERSION_BEGIN 10.1 cbx_altpriority_encoder 2010:09:06:21:07:25:PN cbx_mgl 2010:09:06:21:22:18:PN  VERSION_END
+
+
+//altpriority_encoder CBX_AUTO_BLACKBOX="ON" CBX_SINGLE_OUTPUT_FILE="ON" LSB_PRIORITY="YES" WIDTH=4 WIDTHAD=2 data q
+//VERSION_BEGIN 10.1 cbx_altpriority_encoder 2010:09:06:21:07:25:PN cbx_mgl 2010:09:06:21:22:18:PN  VERSION_END
+
+
+//altpriority_encoder CBX_AUTO_BLACKBOX="ON" CBX_SINGLE_OUTPUT_FILE="ON" LSB_PRIORITY="YES" WIDTH=2 WIDTHAD=1 data q
+//VERSION_BEGIN 10.1 cbx_altpriority_encoder 2010:09:06:21:07:25:PN cbx_mgl 2010:09:06:21:22:18:PN  VERSION_END
+
+//synthesis_resources = 
+//synopsys translate_off
+`timescale 1 ps / 1 ps
+//synopsys translate_on
+module  fpoint_hw_qsys_addsub_single_altpriority_encoder_6la
+	( 
+	data,
+	q) /* synthesis synthesis_clearbox=1 */;
+	input   [1:0]  data;
+	output   [0:0]  q;
+
+
+	assign
+		q = {(~ data[0])};
+endmodule //fpoint_hw_qsys_addsub_single_altpriority_encoder_6la
+
+//synthesis_resources = 
+//synopsys translate_off
+`timescale 1 ps / 1 ps
+//synopsys translate_on
+module  fpoint_hw_qsys_addsub_single_altpriority_encoder_9la
+	( 
+	data,
+	q) /* synthesis synthesis_clearbox=1 */;
+	input   [3:0]  data;
+	output   [1:0]  q;
+
+	wire  [0:0]   wire_altpriority_encoder33_q;
+	wire  wire_altpriority_encoder33_zero;
+	wire  [0:0]   wire_altpriority_encoder34_q;
+
+	fpoint_hw_qsys_addsub_single_altpriority_encoder_64b   altpriority_encoder33
+	( 
+	.data(data[1:0]),
+	.q(wire_altpriority_encoder33_q),
+	.zero(wire_altpriority_encoder33_zero));
+	fpoint_hw_qsys_addsub_single_altpriority_encoder_6la   altpriority_encoder34
+	( 
+	.data(data[3:2]),
+	.q(wire_altpriority_encoder34_q));
+	assign
+		q = {wire_altpriority_encoder33_zero, ((wire_altpriority_encoder33_zero & wire_altpriority_encoder34_q) | ((~ wire_altpriority_encoder33_zero) & wire_altpriority_encoder33_q))};
+endmodule //fpoint_hw_qsys_addsub_single_altpriority_encoder_9la
+
+//synthesis_resources = 
+//synopsys translate_off
+`timescale 1 ps / 1 ps
+//synopsys translate_on
+module  fpoint_hw_qsys_addsub_single_altpriority_encoder_ela
+	( 
+	data,
+	q) /* synthesis synthesis_clearbox=1 */;
+	input   [7:0]  data;
+	output   [2:0]  q;
+
+	wire  [1:0]   wire_altpriority_encoder31_q;
+	wire  wire_altpriority_encoder31_zero;
+	wire  [1:0]   wire_altpriority_encoder32_q;
+
+	fpoint_hw_qsys_addsub_single_altpriority_encoder_94b   altpriority_encoder31
+	( 
+	.data(data[3:0]),
+	.q(wire_altpriority_encoder31_q),
+	.zero(wire_altpriority_encoder31_zero));
+	fpoint_hw_qsys_addsub_single_altpriority_encoder_9la   altpriority_encoder32
+	( 
+	.data(data[7:4]),
+	.q(wire_altpriority_encoder32_q));
+	assign
+		q = {wire_altpriority_encoder31_zero, (({2{wire_altpriority_encoder31_zero}} & wire_altpriority_encoder32_q) | ({2{(~ wire_altpriority_encoder31_zero)}} & wire_altpriority_encoder31_q))};
+endmodule //fpoint_hw_qsys_addsub_single_altpriority_encoder_ela
+
+//synthesis_resources = 
+//synopsys translate_off
+`timescale 1 ps / 1 ps
+//synopsys translate_on
+module  fpoint_hw_qsys_addsub_single_altpriority_encoder_uma
+	( 
+	data,
+	q) /* synthesis synthesis_clearbox=1 */;
+	input   [15:0]  data;
+	output   [3:0]  q;
+
+	wire  [2:0]   wire_altpriority_encoder29_q;
+	wire  wire_altpriority_encoder29_zero;
+	wire  [2:0]   wire_altpriority_encoder30_q;
+
+	fpoint_hw_qsys_addsub_single_altpriority_encoder_e4b   altpriority_encoder29
+	( 
+	.data(data[7:0]),
+	.q(wire_altpriority_encoder29_q),
+	.zero(wire_altpriority_encoder29_zero));
+	fpoint_hw_qsys_addsub_single_altpriority_encoder_ela   altpriority_encoder30
+	( 
+	.data(data[15:8]),
+	.q(wire_altpriority_encoder30_q));
+	assign
+		q = {wire_altpriority_encoder29_zero, (({3{wire_altpriority_encoder29_zero}} & wire_altpriority_encoder30_q) | ({3{(~ wire_altpriority_encoder29_zero)}} & wire_altpriority_encoder29_q))};
+endmodule //fpoint_hw_qsys_addsub_single_altpriority_encoder_uma
+
+//synthesis_resources = 
+//synopsys translate_off
+`timescale 1 ps / 1 ps
+//synopsys translate_on
+module  fpoint_hw_qsys_addsub_single_altpriority_encoder_tma
+	( 
+	data,
+	q) /* synthesis synthesis_clearbox=1 */;
+	input   [31:0]  data;
+	output   [4:0]  q;
+
+	wire  [3:0]   wire_altpriority_encoder21_q;
+	wire  wire_altpriority_encoder21_zero;
+	wire  [3:0]   wire_altpriority_encoder22_q;
+
+	fpoint_hw_qsys_addsub_single_altpriority_encoder_u5b   altpriority_encoder21
+	( 
+	.data(data[15:0]),
+	.q(wire_altpriority_encoder21_q),
+	.zero(wire_altpriority_encoder21_zero));
+	fpoint_hw_qsys_addsub_single_altpriority_encoder_uma   altpriority_encoder22
+	( 
+	.data(data[31:16]),
+	.q(wire_altpriority_encoder22_q));
+	assign
+		q = {wire_altpriority_encoder21_zero, (({4{wire_altpriority_encoder21_zero}} & wire_altpriority_encoder22_q) | ({4{(~ wire_altpriority_encoder21_zero)}} & wire_altpriority_encoder21_q))};
+endmodule //fpoint_hw_qsys_addsub_single_altpriority_encoder_tma
+
+//synthesis_resources = lpm_add_sub 14 lpm_compare 1 reg 356 
+//synopsys translate_off
+`timescale 1 ps / 1 ps
+//synopsys translate_on
+module  fpoint_hw_qsys_addsub_single
+	( 
+	aclr,
+	add_sub,
+	clk_en,
+	clock,
+	dataa,
+	datab,
+	result) /* synthesis synthesis_clearbox=1 */;
+	input   aclr;
+	input   add_sub;
+	input   clk_en;
+	input   clock;
+	input   [31:0]  dataa;
+	input   [31:0]  datab;
+	output   [31:0]  result;
+`ifndef ALTERA_RESERVED_QIS
+// synopsys translate_off
+`endif
+	tri0   aclr;
+	tri1   add_sub;
+	tri1   clk_en;
+`ifndef ALTERA_RESERVED_QIS
+// synopsys translate_on
+`endif
+
+	wire  [25:0]   wire_lbarrel_shift_result;
+	wire  [25:0]   wire_rbarrel_shift_result;
+	wire  [4:0]   wire_leading_zeroes_cnt_q;
+	wire  [4:0]   wire_trailing_zeros_cnt_q;
+	reg	add_sub_dffe1;
+	reg	add_sub_dffe12;
+	reg	[8:0]	aligned_dataa_exp_dffe12;
+	reg	[23:0]	aligned_dataa_man_dffe12;
+	reg	aligned_dataa_sign_dffe12;
+	reg	[8:0]	aligned_datab_exp_dffe12;
+	reg	[23:0]	aligned_datab_man_dffe12;
+	reg	aligned_datab_sign_dffe12;
+	reg	both_inputs_are_infinite_dffe1;
+	reg	[7:0]	data_exp_dffe1;
+	reg	[25:0]	dataa_man_dffe1;
+	reg	dataa_sign_dffe1;
+	reg	[25:0]	datab_man_dffe1;
+	reg	datab_sign_dffe1;
+	reg	denormal_res_dffe3;
+	reg	denormal_res_dffe4;
+	reg	[1:0]	exp_adj_dffe21;
+	reg	[7:0]	exp_out_dffe5;
+	reg	[7:0]	exp_res_dffe2;
+	reg	[7:0]	exp_res_dffe21;
+	reg	[7:0]	exp_res_dffe3;
+	reg	[7:0]	exp_res_dffe4;
+	reg	infinite_output_sign_dffe1;
+	reg	infinite_output_sign_dffe2;
+	reg	infinite_output_sign_dffe21;
+	reg	infinite_output_sign_dffe3;
+	reg	infinite_output_sign_dffe31;
+	reg	infinite_output_sign_dffe4;
+	reg	infinite_res_dffe3;
+	reg	infinite_res_dffe4;
+	reg	infinity_magnitude_sub_dffe2;
+	reg	infinity_magnitude_sub_dffe21;
+	reg	infinity_magnitude_sub_dffe3;
+	reg	infinity_magnitude_sub_dffe31;
+	reg	infinity_magnitude_sub_dffe4;
+	reg	input_dataa_infinite_dffe12;
+	reg	input_dataa_nan_dffe12;
+	reg	input_datab_infinite_dffe12;
+	reg	input_datab_nan_dffe12;
+	reg	input_is_infinite_dffe1;
+	reg	input_is_infinite_dffe2;
+	reg	input_is_infinite_dffe21;
+	reg	input_is_infinite_dffe3;
+	reg	input_is_infinite_dffe31;
+	reg	input_is_infinite_dffe4;
+	reg	input_is_nan_dffe1;
+	reg	input_is_nan_dffe2;
+	reg	input_is_nan_dffe21;
+	reg	input_is_nan_dffe3;
+	reg	input_is_nan_dffe31;
+	reg	input_is_nan_dffe4;
+	reg	[25:0]	man_add_sub_res_mag_dffe21;
+	reg	man_add_sub_res_sign_dffe21;
+	reg	[25:0]	man_dffe31;
+	reg	[4:0]	man_leading_zeros_dffe31;
+	reg	[22:0]	man_out_dffe5;
+	reg	[22:0]	man_res_dffe4;
+	reg	man_res_is_not_zero_dffe3;
+	reg	man_res_is_not_zero_dffe31;
+	reg	man_res_is_not_zero_dffe4;
+	reg	need_complement_dffe2;
+	reg	round_bit_dffe21;
+	reg	round_bit_dffe3;
+	reg	round_bit_dffe31;
+	reg	rounded_res_infinity_dffe4;
+	reg	sign_dffe31;
+	reg	sign_out_dffe5;
+	reg	sign_res_dffe3;
+	reg	sign_res_dffe4;
+	reg	sticky_bit_dffe1;
+	reg	sticky_bit_dffe2;
+	reg	sticky_bit_dffe21;
+	reg	sticky_bit_dffe3;
+	reg	sticky_bit_dffe31;
+	reg	zero_man_sign_dffe2;
+	reg	zero_man_sign_dffe21;
+	wire  [8:0]   wire_add_sub1_result;
+	wire  [8:0]   wire_add_sub2_result;
+	wire  [5:0]   wire_add_sub3_result;
+	wire  [8:0]   wire_add_sub4_result;
+	wire  [8:0]   wire_add_sub5_result;
+	wire  [8:0]   wire_add_sub6_result;
+	wire  wire_man_2comp_res_lower_cout;
+	wire  [13:0]   wire_man_2comp_res_lower_result;
+	wire  [13:0]   wire_man_2comp_res_upper0_result;
+	wire  [13:0]   wire_man_2comp_res_upper1_result;
+	wire  wire_man_add_sub_lower_cout;
+	wire  [13:0]   wire_man_add_sub_lower_result;
+	wire  [13:0]   wire_man_add_sub_upper0_result;
+	wire  [13:0]   wire_man_add_sub_upper1_result;
+	wire  wire_man_res_rounding_add_sub_lower_cout;
+	wire  [12:0]   wire_man_res_rounding_add_sub_lower_result;
+	wire  [12:0]   wire_man_res_rounding_add_sub_upper1_result;
+	wire  wire_trailing_zeros_limit_comparator_agb;
+	wire  add_sub_dffe11_wi;
+	wire  add_sub_dffe11_wo;
+	wire  add_sub_dffe12_wi;
+	wire  add_sub_dffe12_wo;
+	wire  add_sub_dffe13_wi;
+	wire  add_sub_dffe13_wo;
+	wire  add_sub_dffe14_wi;
+	wire  add_sub_dffe14_wo;
+	wire  add_sub_dffe15_wi;
+	wire  add_sub_dffe15_wo;
+	wire  add_sub_dffe1_wi;
+	wire  add_sub_dffe1_wo;
+	wire  add_sub_dffe25_wi;
+	wire  add_sub_dffe25_wo;
+	wire  add_sub_w2;
+	wire  [12:0]  adder_upper_w;
+	wire  [8:0]  aligned_dataa_exp_dffe12_wi;
+	wire  [8:0]  aligned_dataa_exp_dffe12_wo;
+	wire  [8:0]  aligned_dataa_exp_dffe13_wi;
+	wire  [8:0]  aligned_dataa_exp_dffe13_wo;
+	wire  [8:0]  aligned_dataa_exp_dffe14_wi;
+	wire  [8:0]  aligned_dataa_exp_dffe14_wo;
+	wire  [8:0]  aligned_dataa_exp_dffe15_wi;
+	wire  [8:0]  aligned_dataa_exp_dffe15_wo;
+	wire  [8:0]  aligned_dataa_exp_w;
+	wire  [23:0]  aligned_dataa_man_dffe12_wi;
+	wire  [23:0]  aligned_dataa_man_dffe12_wo;
+	wire  [23:0]  aligned_dataa_man_dffe13_wi;
+	wire  [23:0]  aligned_dataa_man_dffe13_wo;
+	wire  [23:0]  aligned_dataa_man_dffe14_wi;
+	wire  [23:0]  aligned_dataa_man_dffe14_wo;
+	wire  [25:0]  aligned_dataa_man_dffe15_w;
+	wire  [23:0]  aligned_dataa_man_dffe15_wi;
+	wire  [23:0]  aligned_dataa_man_dffe15_wo;
+	wire  [25:0]  aligned_dataa_man_w;
+	wire  aligned_dataa_sign_dffe12_wi;
+	wire  aligned_dataa_sign_dffe12_wo;
+	wire  aligned_dataa_sign_dffe13_wi;
+	wire  aligned_dataa_sign_dffe13_wo;
+	wire  aligned_dataa_sign_dffe14_wi;
+	wire  aligned_dataa_sign_dffe14_wo;
+	wire  aligned_dataa_sign_dffe15_wi;
+	wire  aligned_dataa_sign_dffe15_wo;
+	wire  aligned_dataa_sign_w;
+	wire  [8:0]  aligned_datab_exp_dffe12_wi;
+	wire  [8:0]  aligned_datab_exp_dffe12_wo;
+	wire  [8:0]  aligned_datab_exp_dffe13_wi;
+	wire  [8:0]  aligned_datab_exp_dffe13_wo;
+	wire  [8:0]  aligned_datab_exp_dffe14_wi;
+	wire  [8:0]  aligned_datab_exp_dffe14_wo;
+	wire  [8:0]  aligned_datab_exp_dffe15_wi;
+	wire  [8:0]  aligned_datab_exp_dffe15_wo;
+	wire  [8:0]  aligned_datab_exp_w;
+	wire  [23:0]  aligned_datab_man_dffe12_wi;
+	wire  [23:0]  aligned_datab_man_dffe12_wo;
+	wire  [23:0]  aligned_datab_man_dffe13_wi;
+	wire  [23:0]  aligned_datab_man_dffe13_wo;
+	wire  [23:0]  aligned_datab_man_dffe14_wi;
+	wire  [23:0]  aligned_datab_man_dffe14_wo;
+	wire  [25:0]  aligned_datab_man_dffe15_w;
+	wire  [23:0]  aligned_datab_man_dffe15_wi;
+	wire  [23:0]  aligned_datab_man_dffe15_wo;
+	wire  [25:0]  aligned_datab_man_w;
+	wire  aligned_datab_sign_dffe12_wi;
+	wire  aligned_datab_sign_dffe12_wo;
+	wire  aligned_datab_sign_dffe13_wi;
+	wire  aligned_datab_sign_dffe13_wo;
+	wire  aligned_datab_sign_dffe14_wi;
+	wire  aligned_datab_sign_dffe14_wo;
+	wire  aligned_datab_sign_dffe15_wi;
+	wire  aligned_datab_sign_dffe15_wo;
+	wire  aligned_datab_sign_w;
+	wire  borrow_w;
+	wire  both_inputs_are_infinite_dffe1_wi;
+	wire  both_inputs_are_infinite_dffe1_wo;
+	wire  both_inputs_are_infinite_dffe25_wi;
+	wire  both_inputs_are_infinite_dffe25_wo;
+	wire  [7:0]  data_exp_dffe1_wi;
+	wire  [7:0]  data_exp_dffe1_wo;
+	wire  [31:0]  dataa_dffe11_wi;
+	wire  [31:0]  dataa_dffe11_wo;
+	wire  [25:0]  dataa_man_dffe1_wi;
+	wire  [25:0]  dataa_man_dffe1_wo;
+	wire  dataa_sign_dffe1_wi;
+	wire  dataa_sign_dffe1_wo;
+	wire  dataa_sign_dffe25_wi;
+	wire  dataa_sign_dffe25_wo;
+	wire  [31:0]  datab_dffe11_wi;
+	wire  [31:0]  datab_dffe11_wo;
+	wire  [25:0]  datab_man_dffe1_wi;
+	wire  [25:0]  datab_man_dffe1_wo;
+	wire  datab_sign_dffe1_wi;
+	wire  datab_sign_dffe1_wo;
+	wire  denormal_flag_w;
+	wire  denormal_res_dffe32_wi;
+	wire  denormal_res_dffe32_wo;
+	wire  denormal_res_dffe33_wi;
+	wire  denormal_res_dffe33_wo;
+	wire  denormal_res_dffe3_wi;
+	wire  denormal_res_dffe3_wo;
+	wire  denormal_res_dffe41_wi;
+	wire  denormal_res_dffe41_wo;
+	wire  denormal_res_dffe42_wi;
+	wire  denormal_res_dffe42_wo;
+	wire  denormal_res_dffe4_wi;
+	wire  denormal_res_dffe4_wo;
+	wire  denormal_result_w;
+	wire  [7:0]  exp_a_all_one_w;
+	wire  [7:0]  exp_a_not_zero_w;
+	wire  [6:0]  exp_adj_0pads;
+	wire  [1:0]  exp_adj_dffe21_wi;
+	wire  [1:0]  exp_adj_dffe21_wo;
+	wire  [1:0]  exp_adj_dffe23_wi;
+	wire  [1:0]  exp_adj_dffe23_wo;
+	wire  [1:0]  exp_adj_dffe26_wi;
+	wire  [1:0]  exp_adj_dffe26_wo;
+	wire  [1:0]  exp_adjust_by_add1;
+	wire  [1:0]  exp_adjust_by_add2;
+	wire  [8:0]  exp_adjustment2_add_sub_dataa_w;
+	wire  [8:0]  exp_adjustment2_add_sub_datab_w;
+	wire  [8:0]  exp_adjustment2_add_sub_w;
+	wire  [8:0]  exp_adjustment_add_sub_dataa_w;
+	wire  [8:0]  exp_adjustment_add_sub_datab_w;
+	wire  [8:0]  exp_adjustment_add_sub_w;
+	wire  [7:0]  exp_all_ones_w;
+	wire  [7:0]  exp_all_zeros_w;
+	wire  exp_amb_mux_dffe13_wi;
+	wire  exp_amb_mux_dffe13_wo;
+	wire  exp_amb_mux_dffe14_wi;
+	wire  exp_amb_mux_dffe14_wo;
+	wire  exp_amb_mux_dffe15_wi;
+	wire  exp_amb_mux_dffe15_wo;
+	wire  exp_amb_mux_w;
+	wire  [8:0]  exp_amb_w;
+	wire  [7:0]  exp_b_all_one_w;
+	wire  [7:0]  exp_b_not_zero_w;
+	wire  [8:0]  exp_bma_w;
+	wire  [2:0]  exp_diff_abs_exceed_max_w;
+	wire  [4:0]  exp_diff_abs_max_w;
+	wire  [7:0]  exp_diff_abs_w;
+	wire  [7:0]  exp_intermediate_res_dffe41_wi;
+	wire  [7:0]  exp_intermediate_res_dffe41_wo;
+	wire  [7:0]  exp_intermediate_res_dffe42_wi;
+	wire  [7:0]  exp_intermediate_res_dffe42_wo;
+	wire  [7:0]  exp_intermediate_res_w;
+	wire  [7:0]  exp_out_dffe5_wi;
+	wire  [7:0]  exp_out_dffe5_wo;
+	wire  [7:0]  exp_res_dffe21_wi;
+	wire  [7:0]  exp_res_dffe21_wo;
+	wire  [7:0]  exp_res_dffe22_wi;
+	wire  [7:0]  exp_res_dffe22_wo;
+	wire  [7:0]  exp_res_dffe23_wi;
+	wire  [7:0]  exp_res_dffe23_wo;
+	wire  [7:0]  exp_res_dffe25_wi;
+	wire  [7:0]  exp_res_dffe25_wo;
+	wire  [7:0]  exp_res_dffe26_wi;
+	wire  [7:0]  exp_res_dffe26_wo;
+	wire  [7:0]  exp_res_dffe27_wi;
+	wire  [7:0]  exp_res_dffe27_wo;
+	wire  [7:0]  exp_res_dffe2_wi;
+	wire  [7:0]  exp_res_dffe2_wo;
+	wire  [7:0]  exp_res_dffe32_wi;
+	wire  [7:0]  exp_res_dffe32_wo;
+	wire  [7:0]  exp_res_dffe33_wi;
+	wire  [7:0]  exp_res_dffe33_wo;
+	wire  [7:0]  exp_res_dffe3_wi;
+	wire  [7:0]  exp_res_dffe3_wo;
+	wire  [7:0]  exp_res_dffe4_wi;
+	wire  [7:0]  exp_res_dffe4_wo;
+	wire  [7:0]  exp_res_max_w;
+	wire  [8:0]  exp_res_not_zero_w;
+	wire  [8:0]  exp_res_rounding_adder_dataa_w;
+	wire  [8:0]  exp_res_rounding_adder_w;
+	wire  exp_rounded_res_infinity_w;
+	wire  [7:0]  exp_rounded_res_max_w;
+	wire  [7:0]  exp_rounded_res_w;
+	wire  [8:0]  exp_rounding_adjustment_w;
+	wire  [8:0]  exp_value;
+	wire  force_infinity_w;
+	wire  force_nan_w;
+	wire  force_zero_w;
+	wire  guard_bit_dffe3_wo;
+	wire  infinite_output_sign_dffe1_wi;
+	wire  infinite_output_sign_dffe1_wo;
+	wire  infinite_output_sign_dffe21_wi;
+	wire  infinite_output_sign_dffe21_wo;
+	wire  infinite_output_sign_dffe22_wi;
+	wire  infinite_output_sign_dffe22_wo;
+	wire  infinite_output_sign_dffe23_wi;
+	wire  infinite_output_sign_dffe23_wo;
+	wire  infinite_output_sign_dffe25_wi;
+	wire  infinite_output_sign_dffe25_wo;
+	wire  infinite_output_sign_dffe26_wi;
+	wire  infinite_output_sign_dffe26_wo;
+	wire  infinite_output_sign_dffe27_wi;
+	wire  infinite_output_sign_dffe27_wo;
+	wire  infinite_output_sign_dffe2_wi;
+	wire  infinite_output_sign_dffe2_wo;
+	wire  infinite_output_sign_dffe31_wi;
+	wire  infinite_output_sign_dffe31_wo;
+	wire  infinite_output_sign_dffe32_wi;
+	wire  infinite_output_sign_dffe32_wo;
+	wire  infinite_output_sign_dffe33_wi;
+	wire  infinite_output_sign_dffe33_wo;
+	wire  infinite_output_sign_dffe3_wi;
+	wire  infinite_output_sign_dffe3_wo;
+	wire  infinite_output_sign_dffe41_wi;
+	wire  infinite_output_sign_dffe41_wo;
+	wire  infinite_output_sign_dffe42_wi;
+	wire  infinite_output_sign_dffe42_wo;
+	wire  infinite_output_sign_dffe4_wi;
+	wire  infinite_output_sign_dffe4_wo;
+	wire  infinite_res_dff32_wi;
+	wire  infinite_res_dff32_wo;
+	wire  infinite_res_dff33_wi;
+	wire  infinite_res_dff33_wo;
+	wire  infinite_res_dffe3_wi;
+	wire  infinite_res_dffe3_wo;
+	wire  infinite_res_dffe41_wi;
+	wire  infinite_res_dffe41_wo;
+	wire  infinite_res_dffe42_wi;
+	wire  infinite_res_dffe42_wo;
+	wire  infinite_res_dffe4_wi;
+	wire  infinite_res_dffe4_wo;
+	wire  infinity_magnitude_sub_dffe21_wi;
+	wire  infinity_magnitude_sub_dffe21_wo;
+	wire  infinity_magnitude_sub_dffe22_wi;
+	wire  infinity_magnitude_sub_dffe22_wo;
+	wire  infinity_magnitude_sub_dffe23_wi;
+	wire  infinity_magnitude_sub_dffe23_wo;
+	wire  infinity_magnitude_sub_dffe26_wi;
+	wire  infinity_magnitude_sub_dffe26_wo;
+	wire  infinity_magnitude_sub_dffe27_wi;
+	wire  infinity_magnitude_sub_dffe27_wo;
+	wire  infinity_magnitude_sub_dffe2_wi;
+	wire  infinity_magnitude_sub_dffe2_wo;
+	wire  infinity_magnitude_sub_dffe31_wi;
+	wire  infinity_magnitude_sub_dffe31_wo;
+	wire  infinity_magnitude_sub_dffe32_wi;
+	wire  infinity_magnitude_sub_dffe32_wo;
+	wire  infinity_magnitude_sub_dffe33_wi;
+	wire  infinity_magnitude_sub_dffe33_wo;
+	wire  infinity_magnitude_sub_dffe3_wi;
+	wire  infinity_magnitude_sub_dffe3_wo;
+	wire  infinity_magnitude_sub_dffe41_wi;
+	wire  infinity_magnitude_sub_dffe41_wo;
+	wire  infinity_magnitude_sub_dffe42_wi;
+	wire  infinity_magnitude_sub_dffe42_wo;
+	wire  infinity_magnitude_sub_dffe4_wi;
+	wire  infinity_magnitude_sub_dffe4_wo;
+	wire  input_dataa_denormal_dffe11_wi;
+	wire  input_dataa_denormal_dffe11_wo;
+	wire  input_dataa_denormal_w;
+	wire  input_dataa_infinite_dffe11_wi;
+	wire  input_dataa_infinite_dffe11_wo;
+	wire  input_dataa_infinite_dffe12_wi;
+	wire  input_dataa_infinite_dffe12_wo;
+	wire  input_dataa_infinite_dffe13_wi;
+	wire  input_dataa_infinite_dffe13_wo;
+	wire  input_dataa_infinite_dffe14_wi;
+	wire  input_dataa_infinite_dffe14_wo;
+	wire  input_dataa_infinite_dffe15_wi;
+	wire  input_dataa_infinite_dffe15_wo;
+	wire  input_dataa_infinite_w;
+	wire  input_dataa_nan_dffe11_wi;
+	wire  input_dataa_nan_dffe11_wo;
+	wire  input_dataa_nan_dffe12_wi;
+	wire  input_dataa_nan_dffe12_wo;
+	wire  input_dataa_nan_w;
+	wire  input_dataa_zero_dffe11_wi;
+	wire  input_dataa_zero_dffe11_wo;
+	wire  input_dataa_zero_w;
+	wire  input_datab_denormal_dffe11_wi;
+	wire  input_datab_denormal_dffe11_wo;
+	wire  input_datab_denormal_w;
+	wire  input_datab_infinite_dffe11_wi;
+	wire  input_datab_infinite_dffe11_wo;
+	wire  input_datab_infinite_dffe12_wi;
+	wire  input_datab_infinite_dffe12_wo;
+	wire  input_datab_infinite_dffe13_wi;
+	wire  input_datab_infinite_dffe13_wo;
+	wire  input_datab_infinite_dffe14_wi;
+	wire  input_datab_infinite_dffe14_wo;
+	wire  input_datab_infinite_dffe15_wi;
+	wire  input_datab_infinite_dffe15_wo;
+	wire  input_datab_infinite_w;
+	wire  input_datab_nan_dffe11_wi;
+	wire  input_datab_nan_dffe11_wo;
+	wire  input_datab_nan_dffe12_wi;
+	wire  input_datab_nan_dffe12_wo;
+	wire  input_datab_nan_w;
+	wire  input_datab_zero_dffe11_wi;
+	wire  input_datab_zero_dffe11_wo;
+	wire  input_datab_zero_w;
+	wire  input_is_infinite_dffe1_wi;
+	wire  input_is_infinite_dffe1_wo;
+	wire  input_is_infinite_dffe21_wi;
+	wire  input_is_infinite_dffe21_wo;
+	wire  input_is_infinite_dffe22_wi;
+	wire  input_is_infinite_dffe22_wo;
+	wire  input_is_infinite_dffe23_wi;
+	wire  input_is_infinite_dffe23_wo;
+	wire  input_is_infinite_dffe25_wi;
+	wire  input_is_infinite_dffe25_wo;
+	wire  input_is_infinite_dffe26_wi;
+	wire  input_is_infinite_dffe26_wo;
+	wire  input_is_infinite_dffe27_wi;
+	wire  input_is_infinite_dffe27_wo;
+	wire  input_is_infinite_dffe2_wi;
+	wire  input_is_infinite_dffe2_wo;
+	wire  input_is_infinite_dffe31_wi;
+	wire  input_is_infinite_dffe31_wo;
+	wire  input_is_infinite_dffe32_wi;
+	wire  input_is_infinite_dffe32_wo;
+	wire  input_is_infinite_dffe33_wi;
+	wire  input_is_infinite_dffe33_wo;
+	wire  input_is_infinite_dffe3_wi;
+	wire  input_is_infinite_dffe3_wo;
+	wire  input_is_infinite_dffe41_wi;
+	wire  input_is_infinite_dffe41_wo;
+	wire  input_is_infinite_dffe42_wi;
+	wire  input_is_infinite_dffe42_wo;
+	wire  input_is_infinite_dffe4_wi;
+	wire  input_is_infinite_dffe4_wo;
+	wire  input_is_nan_dffe13_wi;
+	wire  input_is_nan_dffe13_wo;
+	wire  input_is_nan_dffe14_wi;
+	wire  input_is_nan_dffe14_wo;
+	wire  input_is_nan_dffe15_wi;
+	wire  input_is_nan_dffe15_wo;
+	wire  input_is_nan_dffe1_wi;
+	wire  input_is_nan_dffe1_wo;
+	wire  input_is_nan_dffe21_wi;
+	wire  input_is_nan_dffe21_wo;
+	wire  input_is_nan_dffe22_wi;
+	wire  input_is_nan_dffe22_wo;
+	wire  input_is_nan_dffe23_wi;
+	wire  input_is_nan_dffe23_wo;
+	wire  input_is_nan_dffe25_wi;
+	wire  input_is_nan_dffe25_wo;
+	wire  input_is_nan_dffe26_wi;
+	wire  input_is_nan_dffe26_wo;
+	wire  input_is_nan_dffe27_wi;
+	wire  input_is_nan_dffe27_wo;
+	wire  input_is_nan_dffe2_wi;
+	wire  input_is_nan_dffe2_wo;
+	wire  input_is_nan_dffe31_wi;
+	wire  input_is_nan_dffe31_wo;
+	wire  input_is_nan_dffe32_wi;
+	wire  input_is_nan_dffe32_wo;
+	wire  input_is_nan_dffe33_wi;
+	wire  input_is_nan_dffe33_wo;
+	wire  input_is_nan_dffe3_wi;
+	wire  input_is_nan_dffe3_wo;
+	wire  input_is_nan_dffe41_wi;
+	wire  input_is_nan_dffe41_wo;
+	wire  input_is_nan_dffe42_wi;
+	wire  input_is_nan_dffe42_wo;
+	wire  input_is_nan_dffe4_wi;
+	wire  input_is_nan_dffe4_wo;
+	wire  [27:0]  man_2comp_res_dataa_w;
+	wire  [27:0]  man_2comp_res_datab_w;
+	wire  [27:0]  man_2comp_res_w;
+	wire  [22:0]  man_a_not_zero_w;
+	wire  [27:0]  man_add_sub_dataa_w;
+	wire  [27:0]  man_add_sub_datab_w;
+	wire  [25:0]  man_add_sub_res_mag_dffe21_wi;
+	wire  [25:0]  man_add_sub_res_mag_dffe21_wo;
+	wire  [25:0]  man_add_sub_res_mag_dffe23_wi;
+	wire  [25:0]  man_add_sub_res_mag_dffe23_wo;
+	wire  [25:0]  man_add_sub_res_mag_dffe26_wi;
+	wire  [25:0]  man_add_sub_res_mag_dffe26_wo;
+	wire  [27:0]  man_add_sub_res_mag_dffe27_wi;
+	wire  [27:0]  man_add_sub_res_mag_dffe27_wo;
+	wire  [27:0]  man_add_sub_res_mag_w2;
+	wire  man_add_sub_res_sign_dffe21_wo;
+	wire  man_add_sub_res_sign_dffe23_wi;
+	wire  man_add_sub_res_sign_dffe23_wo;
+	wire  man_add_sub_res_sign_dffe26_wi;
+	wire  man_add_sub_res_sign_dffe26_wo;
+	wire  man_add_sub_res_sign_dffe27_wi;
+	wire  man_add_sub_res_sign_dffe27_wo;
+	wire  man_add_sub_res_sign_w2;
+	wire  [27:0]  man_add_sub_w;
+	wire  [22:0]  man_all_zeros_w;
+	wire  [22:0]  man_b_not_zero_w;
+	wire  [25:0]  man_dffe31_wo;
+	wire  [25:0]  man_intermediate_res_w;
+	wire  [4:0]  man_leading_zeros_cnt_w;
+	wire  [4:0]  man_leading_zeros_dffe31_wi;
+	wire  [4:0]  man_leading_zeros_dffe31_wo;
+	wire  [22:0]  man_nan_w;
+	wire  [22:0]  man_out_dffe5_wi;
+	wire  [22:0]  man_out_dffe5_wo;
+	wire  [22:0]  man_res_dffe4_wi;
+	wire  [22:0]  man_res_dffe4_wo;
+	wire  man_res_is_not_zero_dffe31_wi;
+	wire  man_res_is_not_zero_dffe31_wo;
+	wire  man_res_is_not_zero_dffe32_wi;
+	wire  man_res_is_not_zero_dffe32_wo;
+	wire  man_res_is_not_zero_dffe33_wi;
+	wire  man_res_is_not_zero_dffe33_wo;
+	wire  man_res_is_not_zero_dffe3_wi;
+	wire  man_res_is_not_zero_dffe3_wo;
+	wire  man_res_is_not_zero_dffe41_wi;
+	wire  man_res_is_not_zero_dffe41_wo;
+	wire  man_res_is_not_zero_dffe42_wi;
+	wire  man_res_is_not_zero_dffe42_wo;
+	wire  man_res_is_not_zero_dffe4_wi;
+	wire  man_res_is_not_zero_dffe4_wo;
+	wire  [25:0]  man_res_mag_w2;
+	wire  man_res_not_zero_dffe23_wi;
+	wire  man_res_not_zero_dffe23_wo;
+	wire  man_res_not_zero_dffe26_wi;
+	wire  man_res_not_zero_dffe26_wo;
+	wire  [24:0]  man_res_not_zero_w2;
+	wire  [25:0]  man_res_rounding_add_sub_datab_w;
+	wire  [25:0]  man_res_rounding_add_sub_w;
+	wire  [23:0]  man_res_w3;
+	wire  [22:0]  man_rounded_res_w;
+	wire  man_rounding_add_value_w;
+	wire  [23:0]  man_smaller_dffe13_wi;
+	wire  [23:0]  man_smaller_dffe13_wo;
+	wire  [23:0]  man_smaller_w;
+	wire  need_complement_dffe22_wi;
+	wire  need_complement_dffe22_wo;
+	wire  need_complement_dffe2_wi;
+	wire  need_complement_dffe2_wo;
+	wire  [1:0]  pos_sign_bit_ext;
+	wire  [3:0]  priority_encoder_1pads_w;
+	wire  round_bit_dffe21_wi;
+	wire  round_bit_dffe21_wo;
+	wire  round_bit_dffe23_wi;
+	wire  round_bit_dffe23_wo;
+	wire  round_bit_dffe26_wi;
+	wire  round_bit_dffe26_wo;
+	wire  round_bit_dffe31_wi;
+	wire  round_bit_dffe31_wo;
+	wire  round_bit_dffe32_wi;
+	wire  round_bit_dffe32_wo;
+	wire  round_bit_dffe33_wi;
+	wire  round_bit_dffe33_wo;
+	wire  round_bit_dffe3_wi;
+	wire  round_bit_dffe3_wo;
+	wire  round_bit_w;
+	wire  rounded_res_infinity_dffe4_wi;
+	wire  rounded_res_infinity_dffe4_wo;
+	wire  [4:0]  rshift_distance_dffe13_wi;
+	wire  [4:0]  rshift_distance_dffe13_wo;
+	wire  [4:0]  rshift_distance_dffe14_wi;
+	wire  [4:0]  rshift_distance_dffe14_wo;
+	wire  [4:0]  rshift_distance_dffe15_wi;
+	wire  [4:0]  rshift_distance_dffe15_wo;
+	wire  [4:0]  rshift_distance_w;
+	wire  sign_dffe31_wi;
+	wire  sign_dffe31_wo;
+	wire  sign_dffe32_wi;
+	wire  sign_dffe32_wo;
+	wire  sign_dffe33_wi;
+	wire  sign_dffe33_wo;
+	wire  sign_out_dffe5_wi;
+	wire  sign_out_dffe5_wo;
+	wire  sign_res_dffe3_wi;
+	wire  sign_res_dffe3_wo;
+	wire  sign_res_dffe41_wi;
+	wire  sign_res_dffe41_wo;
+	wire  sign_res_dffe42_wi;
+	wire  sign_res_dffe42_wo;
+	wire  sign_res_dffe4_wi;
+	wire  sign_res_dffe4_wo;
+	wire  [5:0]  sticky_bit_cnt_dataa_w;
+	wire  [5:0]  sticky_bit_cnt_datab_w;
+	wire  [5:0]  sticky_bit_cnt_res_w;
+	wire  sticky_bit_dffe1_wi;
+	wire  sticky_bit_dffe1_wo;
+	wire  sticky_bit_dffe21_wi;
+	wire  sticky_bit_dffe21_wo;
+	wire  sticky_bit_dffe22_wi;
+	wire  sticky_bit_dffe22_wo;
+	wire  sticky_bit_dffe23_wi;
+	wire  sticky_bit_dffe23_wo;
+	wire  sticky_bit_dffe25_wi;
+	wire  sticky_bit_dffe25_wo;
+	wire  sticky_bit_dffe26_wi;
+	wire  sticky_bit_dffe26_wo;
+	wire  sticky_bit_dffe27_wi;
+	wire  sticky_bit_dffe27_wo;
+	wire  sticky_bit_dffe2_wi;
+	wire  sticky_bit_dffe2_wo;
+	wire  sticky_bit_dffe31_wi;
+	wire  sticky_bit_dffe31_wo;
+	wire  sticky_bit_dffe32_wi;
+	wire  sticky_bit_dffe32_wo;
+	wire  sticky_bit_dffe33_wi;
+	wire  sticky_bit_dffe33_wo;
+	wire  sticky_bit_dffe3_wi;
+	wire  sticky_bit_dffe3_wo;
+	wire  sticky_bit_w;
+	wire  [5:0]  trailing_zeros_limit_w;
+	wire  zero_man_sign_dffe21_wi;
+	wire  zero_man_sign_dffe21_wo;
+	wire  zero_man_sign_dffe22_wi;
+	wire  zero_man_sign_dffe22_wo;
+	wire  zero_man_sign_dffe23_wi;
+	wire  zero_man_sign_dffe23_wo;
+	wire  zero_man_sign_dffe26_wi;
+	wire  zero_man_sign_dffe26_wo;
+	wire  zero_man_sign_dffe27_wi;
+	wire  zero_man_sign_dffe27_wo;
+	wire  zero_man_sign_dffe2_wi;
+	wire  zero_man_sign_dffe2_wo;
+
+	fpoint_hw_qsys_addsub_single_altbarrel_shift_fjg   lbarrel_shift
+	( 
+	.aclr(aclr),
+	.clk_en(clk_en),
+	.clock(clock),
+	.data(man_dffe31_wo),
+	.distance(man_leading_zeros_cnt_w),
+	.result(wire_lbarrel_shift_result));
+	fpoint_hw_qsys_addsub_single_altbarrel_shift_44e   rbarrel_shift
+	( 
+	.data({man_smaller_dffe13_wo, {2{1'b0}}}),
+	.distance(rshift_distance_dffe13_wo),
+	.result(wire_rbarrel_shift_result));
+	fpoint_hw_qsys_addsub_single_altpriority_encoder_9u8   leading_zeroes_cnt
+	( 
+	.data({man_add_sub_res_mag_dffe21_wo[25:1], 1'b1, {6{1'b0}}}),
+	.q(wire_leading_zeroes_cnt_q));
+	fpoint_hw_qsys_addsub_single_altpriority_encoder_tma   trailing_zeros_cnt
+	( 
+	.data({{9{1'b1}}, man_smaller_dffe13_wo[22:0]}),
+	.q(wire_trailing_zeros_cnt_q));
+	// synopsys translate_off
+	initial
+		add_sub_dffe1 = 0;
+	// synopsys translate_on
+	always @ ( posedge clock or  posedge aclr)
+		if (aclr == 1'b1) add_sub_dffe1 <= 1'b0;
+		else if  (clk_en == 1'b1)   add_sub_dffe1 <= add_sub_dffe1_wi;
+	// synopsys translate_off
+	initial
+		add_sub_dffe12 = 0;
+	// synopsys translate_on
+	always @ ( posedge clock or  posedge aclr)
+		if (aclr == 1'b1) add_sub_dffe12 <= 1'b0;
+		else if  (clk_en == 1'b1)   add_sub_dffe12 <= add_sub_dffe12_wi;
+	// synopsys translate_off
+	initial
+		aligned_dataa_exp_dffe12 = 0;
+	// synopsys translate_on
+	always @ ( posedge clock or  posedge aclr)
+		if (aclr == 1'b1) aligned_dataa_exp_dffe12 <= 9'b0;
+		else if  (clk_en == 1'b1)   aligned_dataa_exp_dffe12 <= aligned_dataa_exp_dffe12_wi;
+	// synopsys translate_off
+	initial
+		aligned_dataa_man_dffe12 = 0;
+	// synopsys translate_on
+	always @ ( posedge clock or  posedge aclr)
+		if (aclr == 1'b1) aligned_dataa_man_dffe12 <= 24'b0;
+		else if  (clk_en == 1'b1)   aligned_dataa_man_dffe12 <= aligned_dataa_man_dffe12_wi;
+	// synopsys translate_off
+	initial
+		aligned_dataa_sign_dffe12 = 0;
+	// synopsys translate_on
+	always @ ( posedge clock or  posedge aclr)
+		if (aclr == 1'b1) aligned_dataa_sign_dffe12 <= 1'b0;
+		else if  (clk_en == 1'b1)   aligned_dataa_sign_dffe12 <= aligned_dataa_sign_dffe12_wi;
+	// synopsys translate_off
+	initial
+		aligned_datab_exp_dffe12 = 0;
+	// synopsys translate_on
+	always @ ( posedge clock or  posedge aclr)
+		if (aclr == 1'b1) aligned_datab_exp_dffe12 <= 9'b0;
+		else if  (clk_en == 1'b1)   aligned_datab_exp_dffe12 <= aligned_datab_exp_dffe12_wi;
+	// synopsys translate_off
+	initial
+		aligned_datab_man_dffe12 = 0;
+	// synopsys translate_on
+	always @ ( posedge clock or  posedge aclr)
+		if (aclr == 1'b1) aligned_datab_man_dffe12 <= 24'b0;
+		else if  (clk_en == 1'b1)   aligned_datab_man_dffe12 <= aligned_datab_man_dffe12_wi;
+	// synopsys translate_off
+	initial
+		aligned_datab_sign_dffe12 = 0;
+	// synopsys translate_on
+	always @ ( posedge clock or  posedge aclr)
+		if (aclr == 1'b1) aligned_datab_sign_dffe12 <= 1'b0;
+		else if  (clk_en == 1'b1)   aligned_datab_sign_dffe12 <= aligned_datab_sign_dffe12_wi;
+	// synopsys translate_off
+	initial
+		both_inputs_are_infinite_dffe1 = 0;
+	// synopsys translate_on
+	always @ ( posedge clock or  posedge aclr)
+		if (aclr == 1'b1) both_inputs_are_infinite_dffe1 <= 1'b0;
+		else if  (clk_en == 1'b1)   both_inputs_are_infinite_dffe1 <= both_inputs_are_infinite_dffe1_wi;
+	// synopsys translate_off
+	initial
+		data_exp_dffe1 = 0;
+	// synopsys translate_on
+	always @ ( posedge clock or  posedge aclr)
+		if (aclr == 1'b1) data_exp_dffe1 <= 8'b0;
+		else if  (clk_en == 1'b1)   data_exp_dffe1 <= data_exp_dffe1_wi;
+	// synopsys translate_off
+	initial
+		dataa_man_dffe1 = 0;
+	// synopsys translate_on
+	always @ ( posedge clock or  posedge aclr)
+		if (aclr == 1'b1) dataa_man_dffe1 <= 26'b0;
+		else if  (clk_en == 1'b1)   dataa_man_dffe1 <= dataa_man_dffe1_wi;
+	// synopsys translate_off
+	initial
+		dataa_sign_dffe1 = 0;
+	// synopsys translate_on
+	always @ ( posedge clock or  posedge aclr)
+		if (aclr == 1'b1) dataa_sign_dffe1 <= 1'b0;
+		else if  (clk_en == 1'b1)   dataa_sign_dffe1 <= dataa_sign_dffe1_wi;
+	// synopsys translate_off
+	initial
+		datab_man_dffe1 = 0;
+	// synopsys translate_on
+	always @ ( posedge clock or  posedge aclr)
+		if (aclr == 1'b1) datab_man_dffe1 <= 26'b0;
+		else if  (clk_en == 1'b1)   datab_man_dffe1 <= datab_man_dffe1_wi;
+	// synopsys translate_off
+	initial
+		datab_sign_dffe1 = 0;
+	// synopsys translate_on
+	always @ ( posedge clock or  posedge aclr)
+		if (aclr == 1'b1) datab_sign_dffe1 <= 1'b0;
+		else if  (clk_en == 1'b1)   datab_sign_dffe1 <= datab_sign_dffe1_wi;
+	// synopsys translate_off
+	initial
+		denormal_res_dffe3 = 0;
+	// synopsys translate_on
+	always @ ( posedge clock or  posedge aclr)
+		if (aclr == 1'b1) denormal_res_dffe3 <= 1'b0;
+		else if  (clk_en == 1'b1)   denormal_res_dffe3 <= denormal_res_dffe3_wi;
+	// synopsys translate_off
+	initial
+		denormal_res_dffe4 = 0;
+	// synopsys translate_on
+	always @ ( posedge clock or  posedge aclr)
+		if (aclr == 1'b1) denormal_res_dffe4 <= 1'b0;
+		else if  (clk_en == 1'b1)   denormal_res_dffe4 <= denormal_res_dffe4_wi;
+	// synopsys translate_off
+	initial
+		exp_adj_dffe21 = 0;
+	// synopsys translate_on
+	always @ ( posedge clock or  posedge aclr)
+		if (aclr == 1'b1) exp_adj_dffe21 <= 2'b0;
+		else if  (clk_en == 1'b1)   exp_adj_dffe21 <= exp_adj_dffe21_wi;
+	// synopsys translate_off
+	initial
+		exp_out_dffe5 = 0;
+	// synopsys translate_on
+	always @ ( posedge clock or  posedge aclr)
+		if (aclr == 1'b1) exp_out_dffe5 <= 8'b0;
+		else if  (clk_en == 1'b1)   exp_out_dffe5 <= exp_out_dffe5_wi;
+	// synopsys translate_off
+	initial
+		exp_res_dffe2 = 0;
+	// synopsys translate_on
+	always @ ( posedge clock or  posedge aclr)
+		if (aclr == 1'b1) exp_res_dffe2 <= 8'b0;
+		else if  (clk_en == 1'b1)   exp_res_dffe2 <= exp_res_dffe2_wi;
+	// synopsys translate_off
+	initial
+		exp_res_dffe21 = 0;
+	// synopsys translate_on
+	always @ ( posedge clock or  posedge aclr)
+		if (aclr == 1'b1) exp_res_dffe21 <= 8'b0;
+		else if  (clk_en == 1'b1)   exp_res_dffe21 <= exp_res_dffe21_wi;
+	// synopsys translate_off
+	initial
+		exp_res_dffe3 = 0;
+	// synopsys translate_on
+	always @ ( posedge clock or  posedge aclr)
+		if (aclr == 1'b1) exp_res_dffe3 <= 8'b0;
+		else if  (clk_en == 1'b1)   exp_res_dffe3 <= exp_res_dffe3_wi;
+	// synopsys translate_off
+	initial
+		exp_res_dffe4 = 0;
+	// synopsys translate_on
+	always @ ( posedge clock or  posedge aclr)
+		if (aclr == 1'b1) exp_res_dffe4 <= 8'b0;
+		else if  (clk_en == 1'b1)   exp_res_dffe4 <= exp_res_dffe4_wi;
+	// synopsys translate_off
+	initial
+		infinite_output_sign_dffe1 = 0;
+	// synopsys translate_on
+	always @ ( posedge clock or  posedge aclr)
+		if (aclr == 1'b1) infinite_output_sign_dffe1 <= 1'b0;
+		else if  (clk_en == 1'b1)   infinite_output_sign_dffe1 <= infinite_output_sign_dffe1_wi;
+	// synopsys translate_off
+	initial
+		infinite_output_sign_dffe2 = 0;
+	// synopsys translate_on
+	always @ ( posedge clock or  posedge aclr)
+		if (aclr == 1'b1) infinite_output_sign_dffe2 <= 1'b0;
+		else if  (clk_en == 1'b1)   infinite_output_sign_dffe2 <= infinite_output_sign_dffe2_wi;
+	// synopsys translate_off
+	initial
+		infinite_output_sign_dffe21 = 0;
+	// synopsys translate_on
+	always @ ( posedge clock or  posedge aclr)
+		if (aclr == 1'b1) infinite_output_sign_dffe21 <= 1'b0;
+		else if  (clk_en == 1'b1)   infinite_output_sign_dffe21 <= infinite_output_sign_dffe21_wi;
+	// synopsys translate_off
+	initial
+		infinite_output_sign_dffe3 = 0;
+	// synopsys translate_on
+	always @ ( posedge clock or  posedge aclr)
+		if (aclr == 1'b1) infinite_output_sign_dffe3 <= 1'b0;
+		else if  (clk_en == 1'b1)   infinite_output_sign_dffe3 <= infinite_output_sign_dffe3_wi;
+	// synopsys translate_off
+	initial
+		infinite_output_sign_dffe31 = 0;
+	// synopsys translate_on
+	always @ ( posedge clock or  posedge aclr)
+		if (aclr == 1'b1) infinite_output_sign_dffe31 <= 1'b0;
+		else if  (clk_en == 1'b1)   infinite_output_sign_dffe31 <= infinite_output_sign_dffe31_wi;
+	// synopsys translate_off
+	initial
+		infinite_output_sign_dffe4 = 0;
+	// synopsys translate_on
+	always @ ( posedge clock or  posedge aclr)
+		if (aclr == 1'b1) infinite_output_sign_dffe4 <= 1'b0;
+		else if  (clk_en == 1'b1)   infinite_output_sign_dffe4 <= infinite_output_sign_dffe4_wi;
+	// synopsys translate_off
+	initial
+		infinite_res_dffe3 = 0;
+	// synopsys translate_on
+	always @ ( posedge clock or  posedge aclr)
+		if (aclr == 1'b1) infinite_res_dffe3 <= 1'b0;
+		else if  (clk_en == 1'b1)   infinite_res_dffe3 <= infinite_res_dffe3_wi;
+	// synopsys translate_off
+	initial
+		infinite_res_dffe4 = 0;
+	// synopsys translate_on
+	always @ ( posedge clock or  posedge aclr)
+		if (aclr == 1'b1) infinite_res_dffe4 <= 1'b0;
+		else if  (clk_en == 1'b1)   infinite_res_dffe4 <= infinite_res_dffe4_wi;
+	// synopsys translate_off
+	initial
+		infinity_magnitude_sub_dffe2 = 0;
+	// synopsys translate_on
+	always @ ( posedge clock or  posedge aclr)
+		if (aclr == 1'b1) infinity_magnitude_sub_dffe2 <= 1'b0;
+		else if  (clk_en == 1'b1)   infinity_magnitude_sub_dffe2 <= infinity_magnitude_sub_dffe2_wi;
+	// synopsys translate_off
+	initial
+		infinity_magnitude_sub_dffe21 = 0;
+	// synopsys translate_on
+	always @ ( posedge clock or  posedge aclr)
+		if (aclr == 1'b1) infinity_magnitude_sub_dffe21 <= 1'b0;
+		else if  (clk_en == 1'b1)   infinity_magnitude_sub_dffe21 <= infinity_magnitude_sub_dffe21_wi;
+	// synopsys translate_off
+	initial
+		infinity_magnitude_sub_dffe3 = 0;
+	// synopsys translate_on
+	always @ ( posedge clock or  posedge aclr)
+		if (aclr == 1'b1) infinity_magnitude_sub_dffe3 <= 1'b0;
+		else if  (clk_en == 1'b1)   infinity_magnitude_sub_dffe3 <= infinity_magnitude_sub_dffe3_wi;
+	// synopsys translate_off
+	initial
+		infinity_magnitude_sub_dffe31 = 0;
+	// synopsys translate_on
+	always @ ( posedge clock or  posedge aclr)
+		if (aclr == 1'b1) infinity_magnitude_sub_dffe31 <= 1'b0;
+		else if  (clk_en == 1'b1)   infinity_magnitude_sub_dffe31 <= infinity_magnitude_sub_dffe31_wi;
+	// synopsys translate_off
+	initial
+		infinity_magnitude_sub_dffe4 = 0;
+	// synopsys translate_on
+	always @ ( posedge clock or  posedge aclr)
+		if (aclr == 1'b1) infinity_magnitude_sub_dffe4 <= 1'b0;
+		else if  (clk_en == 1'b1)   infinity_magnitude_sub_dffe4 <= infinity_magnitude_sub_dffe4_wi;
+	// synopsys translate_off
+	initial
+		input_dataa_infinite_dffe12 = 0;
+	// synopsys translate_on
+	always @ ( posedge clock or  posedge aclr)
+		if (aclr == 1'b1) input_dataa_infinite_dffe12 <= 1'b0;
+		else if  (clk_en == 1'b1)   input_dataa_infinite_dffe12 <= input_dataa_infinite_dffe12_wi;
+	// synopsys translate_off
+	initial
+		input_dataa_nan_dffe12 = 0;
+	// synopsys translate_on
+	always @ ( posedge clock or  posedge aclr)
+		if (aclr == 1'b1) input_dataa_nan_dffe12 <= 1'b0;
+		else if  (clk_en == 1'b1)   input_dataa_nan_dffe12 <= input_dataa_nan_dffe12_wi;
+	// synopsys translate_off
+	initial
+		input_datab_infinite_dffe12 = 0;
+	// synopsys translate_on
+	always @ ( posedge clock or  posedge aclr)
+		if (aclr == 1'b1) input_datab_infinite_dffe12 <= 1'b0;
+		else if  (clk_en == 1'b1)   input_datab_infinite_dffe12 <= input_datab_infinite_dffe12_wi;
+	// synopsys translate_off
+	initial
+		input_datab_nan_dffe12 = 0;
+	// synopsys translate_on
+	always @ ( posedge clock or  posedge aclr)
+		if (aclr == 1'b1) input_datab_nan_dffe12 <= 1'b0;
+		else if  (clk_en == 1'b1)   input_datab_nan_dffe12 <= input_datab_nan_dffe12_wi;
+	// synopsys translate_off
+	initial
+		input_is_infinite_dffe1 = 0;
+	// synopsys translate_on
+	always @ ( posedge clock or  posedge aclr)
+		if (aclr == 1'b1) input_is_infinite_dffe1 <= 1'b0;
+		else if  (clk_en == 1'b1)   input_is_infinite_dffe1 <= input_is_infinite_dffe1_wi;
+	// synopsys translate_off
+	initial
+		input_is_infinite_dffe2 = 0;
+	// synopsys translate_on
+	always @ ( posedge clock or  posedge aclr)
+		if (aclr == 1'b1) input_is_infinite_dffe2 <= 1'b0;
+		else if  (clk_en == 1'b1)   input_is_infinite_dffe2 <= input_is_infinite_dffe2_wi;
+	// synopsys translate_off
+	initial
+		input_is_infinite_dffe21 = 0;
+	// synopsys translate_on
+	always @ ( posedge clock or  posedge aclr)
+		if (aclr == 1'b1) input_is_infinite_dffe21 <= 1'b0;
+		else if  (clk_en == 1'b1)   input_is_infinite_dffe21 <= input_is_infinite_dffe21_wi;
+	// synopsys translate_off
+	initial
+		input_is_infinite_dffe3 = 0;
+	// synopsys translate_on
+	always @ ( posedge clock or  posedge aclr)
+		if (aclr == 1'b1) input_is_infinite_dffe3 <= 1'b0;
+		else if  (clk_en == 1'b1)   input_is_infinite_dffe3 <= input_is_infinite_dffe3_wi;
+	// synopsys translate_off
+	initial
+		input_is_infinite_dffe31 = 0;
+	// synopsys translate_on
+	always @ ( posedge clock or  posedge aclr)
+		if (aclr == 1'b1) input_is_infinite_dffe31 <= 1'b0;
+		else if  (clk_en == 1'b1)   input_is_infinite_dffe31 <= input_is_infinite_dffe31_wi;
+	// synopsys translate_off
+	initial
+		input_is_infinite_dffe4 = 0;
+	// synopsys translate_on
+	always @ ( posedge clock or  posedge aclr)
+		if (aclr == 1'b1) input_is_infinite_dffe4 <= 1'b0;
+		else if  (clk_en == 1'b1)   input_is_infinite_dffe4 <= input_is_infinite_dffe4_wi;
+	// synopsys translate_off
+	initial
+		input_is_nan_dffe1 = 0;
+	// synopsys translate_on
+	always @ ( posedge clock or  posedge aclr)
+		if (aclr == 1'b1) input_is_nan_dffe1 <= 1'b0;
+		else if  (clk_en == 1'b1)   input_is_nan_dffe1 <= input_is_nan_dffe1_wi;
+	// synopsys translate_off
+	initial
+		input_is_nan_dffe2 = 0;
+	// synopsys translate_on
+	always @ ( posedge clock or  posedge aclr)
+		if (aclr == 1'b1) input_is_nan_dffe2 <= 1'b0;
+		else if  (clk_en == 1'b1)   input_is_nan_dffe2 <= input_is_nan_dffe2_wi;
+	// synopsys translate_off
+	initial
+		input_is_nan_dffe21 = 0;
+	// synopsys translate_on
+	always @ ( posedge clock or  posedge aclr)
+		if (aclr == 1'b1) input_is_nan_dffe21 <= 1'b0;
+		else if  (clk_en == 1'b1)   input_is_nan_dffe21 <= input_is_nan_dffe21_wi;
+	// synopsys translate_off
+	initial
+		input_is_nan_dffe3 = 0;
+	// synopsys translate_on
+	always @ ( posedge clock or  posedge aclr)
+		if (aclr == 1'b1) input_is_nan_dffe3 <= 1'b0;
+		else if  (clk_en == 1'b1)   input_is_nan_dffe3 <= input_is_nan_dffe3_wi;
+	// synopsys translate_off
+	initial
+		input_is_nan_dffe31 = 0;
+	// synopsys translate_on
+	always @ ( posedge clock or  posedge aclr)
+		if (aclr == 1'b1) input_is_nan_dffe31 <= 1'b0;
+		else if  (clk_en == 1'b1)   input_is_nan_dffe31 <= input_is_nan_dffe31_wi;
+	// synopsys translate_off
+	initial
+		input_is_nan_dffe4 = 0;
+	// synopsys translate_on
+	always @ ( posedge clock or  posedge aclr)
+		if (aclr == 1'b1) input_is_nan_dffe4 <= 1'b0;
+		else if  (clk_en == 1'b1)   input_is_nan_dffe4 <= input_is_nan_dffe4_wi;
+	// synopsys translate_off
+	initial
+		man_add_sub_res_mag_dffe21 = 0;
+	// synopsys translate_on
+	always @ ( posedge clock or  posedge aclr)
+		if (aclr == 1'b1) man_add_sub_res_mag_dffe21 <= 26'b0;
+		else if  (clk_en == 1'b1)   man_add_sub_res_mag_dffe21 <= man_add_sub_res_mag_dffe21_wi;
+	// synopsys translate_off
+	initial
+		man_add_sub_res_sign_dffe21 = 0;
+	// synopsys translate_on
+	always @ ( posedge clock or  posedge aclr)
+		if (aclr == 1'b1) man_add_sub_res_sign_dffe21 <= 1'b0;
+		else if  (clk_en == 1'b1)   man_add_sub_res_sign_dffe21 <= man_add_sub_res_sign_dffe27_wo;
+	// synopsys translate_off
+	initial
+		man_dffe31 = 0;
+	// synopsys translate_on
+	always @ ( posedge clock or  posedge aclr)
+		if (aclr == 1'b1) man_dffe31 <= 26'b0;
+		else if  (clk_en == 1'b1)   man_dffe31 <= man_add_sub_res_mag_dffe26_wo;
+	// synopsys translate_off
+	initial
+		man_leading_zeros_dffe31 = 0;
+	// synopsys translate_on
+	always @ ( posedge clock or  posedge aclr)
+		if (aclr == 1'b1) man_leading_zeros_dffe31 <= 5'b0;
+		else if  (clk_en == 1'b1)   man_leading_zeros_dffe31 <= man_leading_zeros_dffe31_wi;
+	// synopsys translate_off
+	initial
+		man_out_dffe5 = 0;
+	// synopsys translate_on
+	always @ ( posedge clock or  posedge aclr)
+		if (aclr == 1'b1) man_out_dffe5 <= 23'b0;
+		else if  (clk_en == 1'b1)   man_out_dffe5 <= man_out_dffe5_wi;
+	// synopsys translate_off
+	initial
+		man_res_dffe4 = 0;
+	// synopsys translate_on
+	always @ ( posedge clock or  posedge aclr)
+		if (aclr == 1'b1) man_res_dffe4 <= 23'b0;
+		else if  (clk_en == 1'b1)   man_res_dffe4 <= man_res_dffe4_wi;
+	// synopsys translate_off
+	initial
+		man_res_is_not_zero_dffe3 = 0;
+	// synopsys translate_on
+	always @ ( posedge clock or  posedge aclr)
+		if (aclr == 1'b1) man_res_is_not_zero_dffe3 <= 1'b0;
+		else if  (clk_en == 1'b1)   man_res_is_not_zero_dffe3 <= man_res_is_not_zero_dffe3_wi;
+	// synopsys translate_off
+	initial
+		man_res_is_not_zero_dffe31 = 0;
+	// synopsys translate_on
+	always @ ( posedge clock or  posedge aclr)
+		if (aclr == 1'b1) man_res_is_not_zero_dffe31 <= 1'b0;
+		else if  (clk_en == 1'b1)   man_res_is_not_zero_dffe31 <= man_res_is_not_zero_dffe31_wi;
+	// synopsys translate_off
+	initial
+		man_res_is_not_zero_dffe4 = 0;
+	// synopsys translate_on
+	always @ ( posedge clock or  posedge aclr)
+		if (aclr == 1'b1) man_res_is_not_zero_dffe4 <= 1'b0;
+		else if  (clk_en == 1'b1)   man_res_is_not_zero_dffe4 <= man_res_is_not_zero_dffe4_wi;
+	// synopsys translate_off
+	initial
+		need_complement_dffe2 = 0;
+	// synopsys translate_on
+	always @ ( posedge clock or  posedge aclr)
+		if (aclr == 1'b1) need_complement_dffe2 <= 1'b0;
+		else if  (clk_en == 1'b1)   need_complement_dffe2 <= need_complement_dffe2_wi;
+	// synopsys translate_off
+	initial
+		round_bit_dffe21 = 0;
+	// synopsys translate_on
+	always @ ( posedge clock or  posedge aclr)
+		if (aclr == 1'b1) round_bit_dffe21 <= 1'b0;
+		else if  (clk_en == 1'b1)   round_bit_dffe21 <= round_bit_dffe21_wi;
+	// synopsys translate_off
+	initial
+		round_bit_dffe3 = 0;
+	// synopsys translate_on
+	always @ ( posedge clock or  posedge aclr)
+		if (aclr == 1'b1) round_bit_dffe3 <= 1'b0;
+		else if  (clk_en == 1'b1)   round_bit_dffe3 <= round_bit_dffe3_wi;
+	// synopsys translate_off
+	initial
+		round_bit_dffe31 = 0;
+	// synopsys translate_on
+	always @ ( posedge clock or  posedge aclr)
+		if (aclr == 1'b1) round_bit_dffe31 <= 1'b0;
+		else if  (clk_en == 1'b1)   round_bit_dffe31 <= round_bit_dffe31_wi;
+	// synopsys translate_off
+	initial
+		rounded_res_infinity_dffe4 = 0;
+	// synopsys translate_on
+	always @ ( posedge clock or  posedge aclr)
+		if (aclr == 1'b1) rounded_res_infinity_dffe4 <= 1'b0;
+		else if  (clk_en == 1'b1)   rounded_res_infinity_dffe4 <= rounded_res_infinity_dffe4_wi;
+	// synopsys translate_off
+	initial
+		sign_dffe31 = 0;
+	// synopsys translate_on
+	always @ ( posedge clock or  posedge aclr)
+		if (aclr == 1'b1) sign_dffe31 <= 1'b0;
+		else if  (clk_en == 1'b1)   sign_dffe31 <= sign_dffe31_wi;
+	// synopsys translate_off
+	initial
+		sign_out_dffe5 = 0;
+	// synopsys translate_on
+	always @ ( posedge clock or  posedge aclr)
+		if (aclr == 1'b1) sign_out_dffe5 <= 1'b0;
+		else if  (clk_en == 1'b1)   sign_out_dffe5 <= sign_out_dffe5_wi;
+	// synopsys translate_off
+	initial
+		sign_res_dffe3 = 0;
+	// synopsys translate_on
+	always @ ( posedge clock or  posedge aclr)
+		if (aclr == 1'b1) sign_res_dffe3 <= 1'b0;
+		else if  (clk_en == 1'b1)   sign_res_dffe3 <= sign_res_dffe3_wi;
+	// synopsys translate_off
+	initial
+		sign_res_dffe4 = 0;
+	// synopsys translate_on
+	always @ ( posedge clock or  posedge aclr)
+		if (aclr == 1'b1) sign_res_dffe4 <= 1'b0;
+		else if  (clk_en == 1'b1)   sign_res_dffe4 <= sign_res_dffe4_wi;
+	// synopsys translate_off
+	initial
+		sticky_bit_dffe1 = 0;
+	// synopsys translate_on
+	always @ ( posedge clock or  posedge aclr)
+		if (aclr == 1'b1) sticky_bit_dffe1 <= 1'b0;
+		else if  (clk_en == 1'b1)   sticky_bit_dffe1 <= sticky_bit_dffe1_wi;
+	// synopsys translate_off
+	initial
+		sticky_bit_dffe2 = 0;
+	// synopsys translate_on
+	always @ ( posedge clock or  posedge aclr)
+		if (aclr == 1'b1) sticky_bit_dffe2 <= 1'b0;
+		else if  (clk_en == 1'b1)   sticky_bit_dffe2 <= sticky_bit_dffe2_wi;
+	// synopsys translate_off
+	initial
+		sticky_bit_dffe21 = 0;
+	// synopsys translate_on
+	always @ ( posedge clock or  posedge aclr)
+		if (aclr == 1'b1) sticky_bit_dffe21 <= 1'b0;
+		else if  (clk_en == 1'b1)   sticky_bit_dffe21 <= sticky_bit_dffe21_wi;
+	// synopsys translate_off
+	initial
+		sticky_bit_dffe3 = 0;
+	// synopsys translate_on
+	always @ ( posedge clock or  posedge aclr)
+		if (aclr == 1'b1) sticky_bit_dffe3 <= 1'b0;
+		else if  (clk_en == 1'b1)   sticky_bit_dffe3 <= sticky_bit_dffe3_wi;
+	// synopsys translate_off
+	initial
+		sticky_bit_dffe31 = 0;
+	// synopsys translate_on
+	always @ ( posedge clock or  posedge aclr)
+		if (aclr == 1'b1) sticky_bit_dffe31 <= 1'b0;
+		else if  (clk_en == 1'b1)   sticky_bit_dffe31 <= sticky_bit_dffe31_wi;
+	// synopsys translate_off
+	initial
+		zero_man_sign_dffe2 = 0;
+	// synopsys translate_on
+	always @ ( posedge clock or  posedge aclr)
+		if (aclr == 1'b1) zero_man_sign_dffe2 <= 1'b0;
+		else if  (clk_en == 1'b1)   zero_man_sign_dffe2 <= zero_man_sign_dffe2_wi;
+	// synopsys translate_off
+	initial
+		zero_man_sign_dffe21 = 0;
+	// synopsys translate_on
+	always @ ( posedge clock or  posedge aclr)
+		if (aclr == 1'b1) zero_man_sign_dffe21 <= 1'b0;
+		else if  (clk_en == 1'b1)   zero_man_sign_dffe21 <= zero_man_sign_dffe21_wi;
+	lpm_add_sub   add_sub1
+	( 
+	.aclr(aclr),
+	.clken(clk_en),
+	.clock(clock),
+	.cout(),
+	.dataa(aligned_dataa_exp_w),
+	.datab(aligned_datab_exp_w),
+	.overflow(),
+	.result(wire_add_sub1_result)
+	`ifndef FORMAL_VERIFICATION
+	// synopsys translate_off
+	`endif
+	,
+	.add_sub(1'b1),
+	.cin()
+	`ifndef FORMAL_VERIFICATION
+	// synopsys translate_on
+	`endif
+	);
+	defparam
+		add_sub1.lpm_direction = "SUB",
+		add_sub1.lpm_pipeline = 1,
+		add_sub1.lpm_representation = "SIGNED",
+		add_sub1.lpm_width = 9,
+		add_sub1.lpm_type = "lpm_add_sub";
+	lpm_add_sub   add_sub2
+	( 
+	.aclr(aclr),
+	.clken(clk_en),
+	.clock(clock),
+	.cout(),
+	.dataa(aligned_datab_exp_w),
+	.datab(aligned_dataa_exp_w),
+	.overflow(),
+	.result(wire_add_sub2_result)
+	`ifndef FORMAL_VERIFICATION
+	// synopsys translate_off
+	`endif
+	,
+	.add_sub(1'b1),
+	.cin()
+	`ifndef FORMAL_VERIFICATION
+	// synopsys translate_on
+	`endif
+	);
+	defparam
+		add_sub2.lpm_direction = "SUB",
+		add_sub2.lpm_pipeline = 1,
+		add_sub2.lpm_representation = "SIGNED",
+		add_sub2.lpm_width = 9,
+		add_sub2.lpm_type = "lpm_add_sub";
+	lpm_add_sub   add_sub3
+	( 
+	.cout(),
+	.dataa(sticky_bit_cnt_dataa_w),
+	.datab(sticky_bit_cnt_datab_w),
+	.overflow(),
+	.result(wire_add_sub3_result)
+	`ifndef FORMAL_VERIFICATION
+	// synopsys translate_off
+	`endif
+	,
+	.aclr(1'b0),
+	.add_sub(1'b1),
+	.cin(),
+	.clken(1'b1),
+	.clock(1'b0)
+	`ifndef FORMAL_VERIFICATION
+	// synopsys translate_on
+	`endif
+	);
+	defparam
+		add_sub3.lpm_direction = "SUB",
+		add_sub3.lpm_representation = "SIGNED",
+		add_sub3.lpm_width = 6,
+		add_sub3.lpm_type = "lpm_add_sub";
+	lpm_add_sub   add_sub4
+	( 
+	.cout(),
+	.dataa(exp_adjustment_add_sub_dataa_w),
+	.datab(exp_adjustment_add_sub_datab_w),
+	.overflow(),
+	.result(wire_add_sub4_result)
+	`ifndef FORMAL_VERIFICATION
+	// synopsys translate_off
+	`endif
+	,
+	.aclr(1'b0),
+	.add_sub(1'b1),
+	.cin(),
+	.clken(1'b1),
+	.clock(1'b0)
+	`ifndef FORMAL_VERIFICATION
+	// synopsys translate_on
+	`endif
+	);
+	defparam
+		add_sub4.lpm_direction = "ADD",
+		add_sub4.lpm_representation = "SIGNED",
+		add_sub4.lpm_width = 9,
+		add_sub4.lpm_type = "lpm_add_sub";
+	lpm_add_sub   add_sub5
+	( 
+	.aclr(aclr),
+	.clken(clk_en),
+	.clock(clock),
+	.cout(),
+	.dataa(exp_adjustment2_add_sub_dataa_w),
+	.datab(exp_adjustment2_add_sub_datab_w),
+	.overflow(),
+	.result(wire_add_sub5_result)
+	`ifndef FORMAL_VERIFICATION
+	// synopsys translate_off
+	`endif
+	,
+	.add_sub(1'b1),
+	.cin()
+	`ifndef FORMAL_VERIFICATION
+	// synopsys translate_on
+	`endif
+	);
+	defparam
+		add_sub5.lpm_direction = "ADD",
+		add_sub5.lpm_pipeline = 1,
+		add_sub5.lpm_representation = "SIGNED",
+		add_sub5.lpm_width = 9,
+		add_sub5.lpm_type = "lpm_add_sub";
+	lpm_add_sub   add_sub6
+	( 
+	.cout(),
+	.dataa(exp_res_rounding_adder_dataa_w),
+	.datab(exp_rounding_adjustment_w),
+	.overflow(),
+	.result(wire_add_sub6_result)
+	`ifndef FORMAL_VERIFICATION
+	// synopsys translate_off
+	`endif
+	,
+	.aclr(1'b0),
+	.add_sub(1'b1),
+	.cin(),
+	.clken(1'b1),
+	.clock(1'b0)
+	`ifndef FORMAL_VERIFICATION
+	// synopsys translate_on
+	`endif
+	);
+	defparam
+		add_sub6.lpm_direction = "ADD",
+		add_sub6.lpm_representation = "SIGNED",
+		add_sub6.lpm_width = 9,
+		add_sub6.lpm_type = "lpm_add_sub";
+	lpm_add_sub   man_2comp_res_lower
+	( 
+	.aclr(aclr),
+	.add_sub(add_sub_w2),
+	.cin(borrow_w),
+	.clken(clk_en),
+	.clock(clock),
+	.cout(wire_man_2comp_res_lower_cout),
+	.dataa(man_2comp_res_dataa_w[13:0]),
+	.datab(man_2comp_res_datab_w[13:0]),
+	.overflow(),
+	.result(wire_man_2comp_res_lower_result));
+	defparam
+		man_2comp_res_lower.lpm_pipeline = 1,
+		man_2comp_res_lower.lpm_representation = "SIGNED",
+		man_2comp_res_lower.lpm_width = 14,
+		man_2comp_res_lower.lpm_type = "lpm_add_sub";
+	lpm_add_sub   man_2comp_res_upper0
+	( 
+	.aclr(aclr),
+	.add_sub(add_sub_w2),
+	.cin(1'b0),
+	.clken(clk_en),
+	.clock(clock),
+	.cout(),
+	.dataa(man_2comp_res_dataa_w[27:14]),
+	.datab(man_2comp_res_datab_w[27:14]),
+	.overflow(),
+	.result(wire_man_2comp_res_upper0_result));
+	defparam
+		man_2comp_res_upper0.lpm_pipeline = 1,
+		man_2comp_res_upper0.lpm_representation = "SIGNED",
+		man_2comp_res_upper0.lpm_width = 14,
+		man_2comp_res_upper0.lpm_type = "lpm_add_sub";
+	lpm_add_sub   man_2comp_res_upper1
+	( 
+	.aclr(aclr),
+	.add_sub(add_sub_w2),
+	.cin(1'b1),
+	.clken(clk_en),
+	.clock(clock),
+	.cout(),
+	.dataa(man_2comp_res_dataa_w[27:14]),
+	.datab(man_2comp_res_datab_w[27:14]),
+	.overflow(),
+	.result(wire_man_2comp_res_upper1_result));
+	defparam
+		man_2comp_res_upper1.lpm_pipeline = 1,
+		man_2comp_res_upper1.lpm_representation = "SIGNED",
+		man_2comp_res_upper1.lpm_width = 14,
+		man_2comp_res_upper1.lpm_type = "lpm_add_sub";
+	lpm_add_sub   man_add_sub_lower
+	( 
+	.aclr(aclr),
+	.add_sub(add_sub_w2),
+	.cin(borrow_w),
+	.clken(clk_en),
+	.clock(clock),
+	.cout(wire_man_add_sub_lower_cout),
+	.dataa(man_add_sub_dataa_w[13:0]),
+	.datab(man_add_sub_datab_w[13:0]),
+	.overflow(),
+	.result(wire_man_add_sub_lower_result));
+	defparam
+		man_add_sub_lower.lpm_pipeline = 1,
+		man_add_sub_lower.lpm_representation = "SIGNED",
+		man_add_sub_lower.lpm_width = 14,
+		man_add_sub_lower.lpm_type = "lpm_add_sub";
+	lpm_add_sub   man_add_sub_upper0
+	( 
+	.aclr(aclr),
+	.add_sub(add_sub_w2),
+	.cin(1'b0),
+	.clken(clk_en),
+	.clock(clock),
+	.cout(),
+	.dataa(man_add_sub_dataa_w[27:14]),
+	.datab(man_add_sub_datab_w[27:14]),
+	.overflow(),
+	.result(wire_man_add_sub_upper0_result));
+	defparam
+		man_add_sub_upper0.lpm_pipeline = 1,
+		man_add_sub_upper0.lpm_representation = "SIGNED",
+		man_add_sub_upper0.lpm_width = 14,
+		man_add_sub_upper0.lpm_type = "lpm_add_sub";
+	lpm_add_sub   man_add_sub_upper1
+	( 
+	.aclr(aclr),
+	.add_sub(add_sub_w2),
+	.cin(1'b1),
+	.clken(clk_en),
+	.clock(clock),
+	.cout(),
+	.dataa(man_add_sub_dataa_w[27:14]),
+	.datab(man_add_sub_datab_w[27:14]),
+	.overflow(),
+	.result(wire_man_add_sub_upper1_result));
+	defparam
+		man_add_sub_upper1.lpm_pipeline = 1,
+		man_add_sub_upper1.lpm_representation = "SIGNED",
+		man_add_sub_upper1.lpm_width = 14,
+		man_add_sub_upper1.lpm_type = "lpm_add_sub";
+	lpm_add_sub   man_res_rounding_add_sub_lower
+	( 
+	.cout(wire_man_res_rounding_add_sub_lower_cout),
+	.dataa(man_intermediate_res_w[12:0]),
+	.datab(man_res_rounding_add_sub_datab_w[12:0]),
+	.overflow(),
+	.result(wire_man_res_rounding_add_sub_lower_result)
+	`ifndef FORMAL_VERIFICATION
+	// synopsys translate_off
+	`endif
+	,
+	.aclr(1'b0),
+	.add_sub(1'b1),
+	.cin(),
+	.clken(1'b1),
+	.clock(1'b0)
+	`ifndef FORMAL_VERIFICATION
+	// synopsys translate_on
+	`endif
+	);
+	defparam
+		man_res_rounding_add_sub_lower.lpm_direction = "ADD",
+		man_res_rounding_add_sub_lower.lpm_representation = "SIGNED",
+		man_res_rounding_add_sub_lower.lpm_width = 13,
+		man_res_rounding_add_sub_lower.lpm_type = "lpm_add_sub";
+	lpm_add_sub   man_res_rounding_add_sub_upper1
+	( 
+	.cin(1'b1),
+	.cout(),
+	.dataa(man_intermediate_res_w[25:13]),
+	.datab(man_res_rounding_add_sub_datab_w[25:13]),
+	.overflow(),
+	.result(wire_man_res_rounding_add_sub_upper1_result)
+	`ifndef FORMAL_VERIFICATION
+	// synopsys translate_off
+	`endif
+	,
+	.aclr(1'b0),
+	.add_sub(1'b1),
+	.clken(1'b1),
+	.clock(1'b0)
+	`ifndef FORMAL_VERIFICATION
+	// synopsys translate_on
+	`endif
+	);
+	defparam
+		man_res_rounding_add_sub_upper1.lpm_direction = "ADD",
+		man_res_rounding_add_sub_upper1.lpm_representation = "SIGNED",
+		man_res_rounding_add_sub_upper1.lpm_width = 13,
+		man_res_rounding_add_sub_upper1.lpm_type = "lpm_add_sub";
+	lpm_compare   trailing_zeros_limit_comparator
+	( 
+	.aeb(),
+	.agb(wire_trailing_zeros_limit_comparator_agb),
+	.ageb(),
+	.alb(),
+	.aleb(),
+	.aneb(),
+	.dataa(sticky_bit_cnt_res_w),
+	.datab(trailing_zeros_limit_w)
+	`ifndef FORMAL_VERIFICATION
+	// synopsys translate_off
+	`endif
+	,
+	.aclr(1'b0),
+	.clken(1'b1),
+	.clock(1'b0)
+	`ifndef FORMAL_VERIFICATION
+	// synopsys translate_on
+	`endif
+	);
+	defparam
+		trailing_zeros_limit_comparator.lpm_representation = "SIGNED",
+		trailing_zeros_limit_comparator.lpm_width = 6,
+		trailing_zeros_limit_comparator.lpm_type = "lpm_compare";
+	assign
+		add_sub_dffe11_wi = add_sub,
+		add_sub_dffe11_wo = add_sub_dffe11_wi,
+		add_sub_dffe12_wi = add_sub_dffe11_wo,
+		add_sub_dffe12_wo = add_sub_dffe12,
+		add_sub_dffe13_wi = add_sub_dffe12_wo,
+		add_sub_dffe13_wo = add_sub_dffe13_wi,
+		add_sub_dffe14_wi = add_sub_dffe13_wo,
+		add_sub_dffe14_wo = add_sub_dffe14_wi,
+		add_sub_dffe15_wi = add_sub_dffe14_wo,
+		add_sub_dffe15_wo = add_sub_dffe15_wi,
+		add_sub_dffe1_wi = add_sub_dffe15_wo,
+		add_sub_dffe1_wo = add_sub_dffe1,
+		add_sub_dffe25_wi = add_sub_w2,
+		add_sub_dffe25_wo = add_sub_dffe25_wi,
+		add_sub_w2 = (((((dataa_sign_dffe1_wo & (~ datab_sign_dffe1_wo)) & (~ add_sub_dffe1_wo)) | (((~ dataa_sign_dffe1_wo) & (~ datab_sign_dffe1_wo)) & add_sub_dffe1_wo)) | (((~ dataa_sign_dffe1_wo) & datab_sign_dffe1_wo) & (~ add_sub_dffe1_wo))) | ((dataa_sign_dffe1_wo & datab_sign_dffe1_wo) & add_sub_dffe1_wo)),
+		adder_upper_w = man_intermediate_res_w[25:13],
+		aligned_dataa_exp_dffe12_wi = aligned_dataa_exp_w,
+		aligned_dataa_exp_dffe12_wo = aligned_dataa_exp_dffe12,
+		aligned_dataa_exp_dffe13_wi = aligned_dataa_exp_dffe12_wo,
+		aligned_dataa_exp_dffe13_wo = aligned_dataa_exp_dffe13_wi,
+		aligned_dataa_exp_dffe14_wi = aligned_dataa_exp_dffe13_wo,
+		aligned_dataa_exp_dffe14_wo = aligned_dataa_exp_dffe14_wi,
+		aligned_dataa_exp_dffe15_wi = aligned_dataa_exp_dffe14_wo,
+		aligned_dataa_exp_dffe15_wo = aligned_dataa_exp_dffe15_wi,
+		aligned_dataa_exp_w = {1'b0, ({8{(~ input_dataa_denormal_dffe11_wo)}} & dataa_dffe11_wo[30:23])},
+		aligned_dataa_man_dffe12_wi = aligned_dataa_man_w[25:2],
+		aligned_dataa_man_dffe12_wo = aligned_dataa_man_dffe12,
+		aligned_dataa_man_dffe13_wi = aligned_dataa_man_dffe12_wo,
+		aligned_dataa_man_dffe13_wo = aligned_dataa_man_dffe13_wi,
+		aligned_dataa_man_dffe14_wi = aligned_dataa_man_dffe13_wo,
+		aligned_dataa_man_dffe14_wo = aligned_dataa_man_dffe14_wi,
+		aligned_dataa_man_dffe15_w = {aligned_dataa_man_dffe15_wo, {2{1'b0}}},
+		aligned_dataa_man_dffe15_wi = aligned_dataa_man_dffe14_wo,
+		aligned_dataa_man_dffe15_wo = aligned_dataa_man_dffe15_wi,
+		aligned_dataa_man_w = {(((~ input_dataa_infinite_dffe11_wo) & (~ input_dataa_denormal_dffe11_wo)) & (~ input_dataa_zero_dffe11_wo)), ({23{(~ input_dataa_denormal_dffe11_wo)}} & dataa_dffe11_wo[22:0]), {2{1'b0}}},
+		aligned_dataa_sign_dffe12_wi = aligned_dataa_sign_w,
+		aligned_dataa_sign_dffe12_wo = aligned_dataa_sign_dffe12,
+		aligned_dataa_sign_dffe13_wi = aligned_dataa_sign_dffe12_wo,
+		aligned_dataa_sign_dffe13_wo = aligned_dataa_sign_dffe13_wi,
+		aligned_dataa_sign_dffe14_wi = aligned_dataa_sign_dffe13_wo,
+		aligned_dataa_sign_dffe14_wo = aligned_dataa_sign_dffe14_wi,
+		aligned_dataa_sign_dffe15_wi = aligned_dataa_sign_dffe14_wo,
+		aligned_dataa_sign_dffe15_wo = aligned_dataa_sign_dffe15_wi,
+		aligned_dataa_sign_w = dataa_dffe11_wo[31],
+		aligned_datab_exp_dffe12_wi = aligned_datab_exp_w,
+		aligned_datab_exp_dffe12_wo = aligned_datab_exp_dffe12,
+		aligned_datab_exp_dffe13_wi = aligned_datab_exp_dffe12_wo,
+		aligned_datab_exp_dffe13_wo = aligned_datab_exp_dffe13_wi,
+		aligned_datab_exp_dffe14_wi = aligned_datab_exp_dffe13_wo,
+		aligned_datab_exp_dffe14_wo = aligned_datab_exp_dffe14_wi,
+		aligned_datab_exp_dffe15_wi = aligned_datab_exp_dffe14_wo,
+		aligned_datab_exp_dffe15_wo = aligned_datab_exp_dffe15_wi,
+		aligned_datab_exp_w = {1'b0, ({8{(~ input_datab_denormal_dffe11_wo)}} & datab_dffe11_wo[30:23])},
+		aligned_datab_man_dffe12_wi = aligned_datab_man_w[25:2],
+		aligned_datab_man_dffe12_wo = aligned_datab_man_dffe12,
+		aligned_datab_man_dffe13_wi = aligned_datab_man_dffe12_wo,
+		aligned_datab_man_dffe13_wo = aligned_datab_man_dffe13_wi,
+		aligned_datab_man_dffe14_wi = aligned_datab_man_dffe13_wo,
+		aligned_datab_man_dffe14_wo = aligned_datab_man_dffe14_wi,
+		aligned_datab_man_dffe15_w = {aligned_datab_man_dffe15_wo, {2{1'b0}}},
+		aligned_datab_man_dffe15_wi = aligned_datab_man_dffe14_wo,
+		aligned_datab_man_dffe15_wo = aligned_datab_man_dffe15_wi,
+		aligned_datab_man_w = {(((~ input_datab_infinite_dffe11_wo) & (~ input_datab_denormal_dffe11_wo)) & (~ input_datab_zero_dffe11_wo)), ({23{(~ input_datab_denormal_dffe11_wo)}} & datab_dffe11_wo[22:0]), {2{1'b0}}},
+		aligned_datab_sign_dffe12_wi = aligned_datab_sign_w,
+		aligned_datab_sign_dffe12_wo = aligned_datab_sign_dffe12,
+		aligned_datab_sign_dffe13_wi = aligned_datab_sign_dffe12_wo,
+		aligned_datab_sign_dffe13_wo = aligned_datab_sign_dffe13_wi,
+		aligned_datab_sign_dffe14_wi = aligned_datab_sign_dffe13_wo,
+		aligned_datab_sign_dffe14_wo = aligned_datab_sign_dffe14_wi,
+		aligned_datab_sign_dffe15_wi = aligned_datab_sign_dffe14_wo,
+		aligned_datab_sign_dffe15_wo = aligned_datab_sign_dffe15_wi,
+		aligned_datab_sign_w = datab_dffe11_wo[31],
+		borrow_w = ((~ sticky_bit_dffe1_wo) & (~ add_sub_w2)),
+		both_inputs_are_infinite_dffe1_wi = (input_dataa_infinite_dffe15_wo & input_datab_infinite_dffe15_wo),
+		both_inputs_are_infinite_dffe1_wo = both_inputs_are_infinite_dffe1,
+		both_inputs_are_infinite_dffe25_wi = both_inputs_are_infinite_dffe1_wo,
+		both_inputs_are_infinite_dffe25_wo = both_inputs_are_infinite_dffe25_wi,
+		data_exp_dffe1_wi = (({8{(~ exp_amb_mux_dffe15_wo)}} & aligned_dataa_exp_dffe15_wo[7:0]) | ({8{exp_amb_mux_dffe15_wo}} & aligned_datab_exp_dffe15_wo[7:0])),
+		data_exp_dffe1_wo = data_exp_dffe1,
+		dataa_dffe11_wi = dataa,
+		dataa_dffe11_wo = dataa_dffe11_wi,
+		dataa_man_dffe1_wi = (({26{(~ exp_amb_mux_dffe15_wo)}} & aligned_dataa_man_dffe15_w) | ({26{exp_amb_mux_dffe15_wo}} & wire_rbarrel_shift_result)),
+		dataa_man_dffe1_wo = dataa_man_dffe1,
+		dataa_sign_dffe1_wi = aligned_dataa_sign_dffe15_wo,
+		dataa_sign_dffe1_wo = dataa_sign_dffe1,
+		dataa_sign_dffe25_wi = dataa_sign_dffe1_wo,
+		dataa_sign_dffe25_wo = dataa_sign_dffe25_wi,
+		datab_dffe11_wi = datab,
+		datab_dffe11_wo = datab_dffe11_wi,
+		datab_man_dffe1_wi = (({26{(~ exp_amb_mux_dffe15_wo)}} & wire_rbarrel_shift_result) | ({26{exp_amb_mux_dffe15_wo}} & aligned_datab_man_dffe15_w)),
+		datab_man_dffe1_wo = datab_man_dffe1,
+		datab_sign_dffe1_wi = aligned_datab_sign_dffe15_wo,
+		datab_sign_dffe1_wo = datab_sign_dffe1,
+		denormal_flag_w = ((((~ force_nan_w) & (~ force_infinity_w)) & (~ force_zero_w)) & denormal_res_dffe4_wo),
+		denormal_res_dffe32_wi = denormal_result_w,
+		denormal_res_dffe32_wo = denormal_res_dffe32_wi,
+		denormal_res_dffe33_wi = denormal_res_dffe32_wo,
+		denormal_res_dffe33_wo = denormal_res_dffe33_wi,
+		denormal_res_dffe3_wi = denormal_res_dffe33_wo,
+		denormal_res_dffe3_wo = denormal_res_dffe3,
+		denormal_res_dffe41_wi = denormal_res_dffe42_wo,
+		denormal_res_dffe41_wo = denormal_res_dffe41_wi,
+		denormal_res_dffe42_wi = denormal_res_dffe3_wo,
+		denormal_res_dffe42_wo = denormal_res_dffe42_wi,
+		denormal_res_dffe4_wi = denormal_res_dffe41_wo,
+		denormal_res_dffe4_wo = denormal_res_dffe4,
+		denormal_result_w = ((~ exp_res_not_zero_w[8]) | exp_adjustment2_add_sub_w[8]),
+		exp_a_all_one_w = {(dataa[30] & exp_a_all_one_w[6]), (dataa[29] & exp_a_all_one_w[5]), (dataa[28] & exp_a_all_one_w[4]), (dataa[27] & exp_a_all_one_w[3]), (dataa[26] & exp_a_all_one_w[2]), (dataa[25] & exp_a_all_one_w[1]), (dataa[24] & exp_a_all_one_w[0]), dataa[23]},
+		exp_a_not_zero_w = {(dataa[30] | exp_a_not_zero_w[6]), (dataa[29] | exp_a_not_zero_w[5]), (dataa[28] | exp_a_not_zero_w[4]), (dataa[27] | exp_a_not_zero_w[3]), (dataa[26] | exp_a_not_zero_w[2]), (dataa[25] | exp_a_not_zero_w[1]), (dataa[24] | exp_a_not_zero_w[0]), dataa[23]},
+		exp_adj_0pads = {7{1'b0}},
+		exp_adj_dffe21_wi = (({2{man_add_sub_res_mag_dffe27_wo[26]}} & exp_adjust_by_add2) | ({2{(~ man_add_sub_res_mag_dffe27_wo[26])}} & exp_adjust_by_add1)),
+		exp_adj_dffe21_wo = exp_adj_dffe21,
+		exp_adj_dffe23_wi = exp_adj_dffe21_wo,
+		exp_adj_dffe23_wo = exp_adj_dffe23_wi,
+		exp_adj_dffe26_wi = exp_adj_dffe23_wo,
+		exp_adj_dffe26_wo = exp_adj_dffe26_wi,
+		exp_adjust_by_add1 = 2'b01,
+		exp_adjust_by_add2 = 2'b10,
+		exp_adjustment2_add_sub_dataa_w = exp_value,
+		exp_adjustment2_add_sub_datab_w = exp_adjustment_add_sub_w,
+		exp_adjustment2_add_sub_w = wire_add_sub5_result,
+		exp_adjustment_add_sub_dataa_w = {priority_encoder_1pads_w, wire_leading_zeroes_cnt_q},
+		exp_adjustment_add_sub_datab_w = {exp_adj_0pads, exp_adj_dffe26_wo},
+		exp_adjustment_add_sub_w = wire_add_sub4_result,
+		exp_all_ones_w = {8{1'b1}},
+		exp_all_zeros_w = {8{1'b0}},
+		exp_amb_mux_dffe13_wi = exp_amb_mux_w,
+		exp_amb_mux_dffe13_wo = exp_amb_mux_dffe13_wi,
+		exp_amb_mux_dffe14_wi = exp_amb_mux_dffe13_wo,
+		exp_amb_mux_dffe14_wo = exp_amb_mux_dffe14_wi,
+		exp_amb_mux_dffe15_wi = exp_amb_mux_dffe14_wo,
+		exp_amb_mux_dffe15_wo = exp_amb_mux_dffe15_wi,
+		exp_amb_mux_w = exp_amb_w[8],
+		exp_amb_w = wire_add_sub1_result,
+		exp_b_all_one_w = {(datab[30] & exp_b_all_one_w[6]), (datab[29] & exp_b_all_one_w[5]), (datab[28] & exp_b_all_one_w[4]), (datab[27] & exp_b_all_one_w[3]), (datab[26] & exp_b_all_one_w[2]), (datab[25] & exp_b_all_one_w[1]), (datab[24] & exp_b_all_one_w[0]), datab[23]},
+		exp_b_not_zero_w = {(datab[30] | exp_b_not_zero_w[6]), (datab[29] | exp_b_not_zero_w[5]), (datab[28] | exp_b_not_zero_w[4]), (datab[27] | exp_b_not_zero_w[3]), (datab[26] | exp_b_not_zero_w[2]), (datab[25] | exp_b_not_zero_w[1]), (datab[24] | exp_b_not_zero_w[0]), datab[23]},
+		exp_bma_w = wire_add_sub2_result,
+		exp_diff_abs_exceed_max_w = {(exp_diff_abs_exceed_max_w[1] | exp_diff_abs_w[7]), (exp_diff_abs_exceed_max_w[0] | exp_diff_abs_w[6]), exp_diff_abs_w[5]},
+		exp_diff_abs_max_w = {5{1'b1}},
+		exp_diff_abs_w = (({8{(~ exp_amb_mux_w)}} & exp_amb_w[7:0]) | ({8{exp_amb_mux_w}} & exp_bma_w[7:0])),
+		exp_intermediate_res_dffe41_wi = exp_intermediate_res_dffe42_wo,
+		exp_intermediate_res_dffe41_wo = exp_intermediate_res_dffe41_wi,
+		exp_intermediate_res_dffe42_wi = exp_intermediate_res_w,
+		exp_intermediate_res_dffe42_wo = exp_intermediate_res_dffe42_wi,
+		exp_intermediate_res_w = exp_res_dffe3_wo,
+		exp_out_dffe5_wi = (({8{force_nan_w}} & exp_all_ones_w) | ({8{(~ force_nan_w)}} & (({8{force_infinity_w}} & exp_all_ones_w) | ({8{(~ force_infinity_w)}} & (({8{(force_zero_w | denormal_flag_w)}} & exp_all_zeros_w) | ({8{(~ (force_zero_w | denormal_flag_w))}} & exp_res_dffe4_wo)))))),
+		exp_out_dffe5_wo = exp_out_dffe5,
+		exp_res_dffe21_wi = exp_res_dffe27_wo,
+		exp_res_dffe21_wo = exp_res_dffe21,
+		exp_res_dffe22_wi = exp_res_dffe2_wo,
+		exp_res_dffe22_wo = exp_res_dffe22_wi,
+		exp_res_dffe23_wi = exp_res_dffe21_wo,
+		exp_res_dffe23_wo = exp_res_dffe23_wi,
+		exp_res_dffe25_wi = data_exp_dffe1_wo,
+		exp_res_dffe25_wo = exp_res_dffe25_wi,
+		exp_res_dffe26_wi = exp_res_dffe23_wo,
+		exp_res_dffe26_wo = exp_res_dffe26_wi,
+		exp_res_dffe27_wi = exp_res_dffe22_wo,
+		exp_res_dffe27_wo = exp_res_dffe27_wi,
+		exp_res_dffe2_wi = exp_res_dffe25_wo,
+		exp_res_dffe2_wo = exp_res_dffe2,
+		exp_res_dffe32_wi = ({8{(~ denormal_result_w)}} & exp_adjustment2_add_sub_w[7:0]),
+		exp_res_dffe32_wo = exp_res_dffe32_wi,
+		exp_res_dffe33_wi = exp_res_dffe32_wo,
+		exp_res_dffe33_wo = exp_res_dffe33_wi,
+		exp_res_dffe3_wi = exp_res_dffe33_wo,
+		exp_res_dffe3_wo = exp_res_dffe3,
+		exp_res_dffe4_wi = exp_rounded_res_w,
+		exp_res_dffe4_wo = exp_res_dffe4,
+		exp_res_max_w = {(exp_res_max_w[6] & exp_adjustment2_add_sub_w[7]), (exp_res_max_w[5] & exp_adjustment2_add_sub_w[6]), (exp_res_max_w[4] & exp_adjustment2_add_sub_w[5]), (exp_res_max_w[3] & exp_adjustment2_add_sub_w[4]), (exp_res_max_w[2] & exp_adjustment2_add_sub_w[3]), (exp_res_max_w[1] & exp_adjustment2_add_sub_w[2]), (exp_res_max_w[0] & exp_adjustment2_add_sub_w[1]), exp_adjustment2_add_sub_w[0]},
+		exp_res_not_zero_w = {(exp_res_not_zero_w[7] | exp_adjustment2_add_sub_w[8]), (exp_res_not_zero_w[6] | exp_adjustment2_add_sub_w[7]), (exp_res_not_zero_w[5] | exp_adjustment2_add_sub_w[6]), (exp_res_not_zero_w[4] | exp_adjustment2_add_sub_w[5]), (exp_res_not_zero_w[3] | exp_adjustment2_add_sub_w[4]), (exp_res_not_zero_w[2] | exp_adjustment2_add_sub_w[3]), (exp_res_not_zero_w[1] | exp_adjustment2_add_sub_w[2]), (exp_res_not_zero_w[0] | exp_adjustment2_add_sub_w[1]), exp_adjustment2_add_sub_w[0]},
+		exp_res_rounding_adder_dataa_w = {1'b0, exp_intermediate_res_dffe41_wo},
+		exp_res_rounding_adder_w = wire_add_sub6_result,
+		exp_rounded_res_infinity_w = exp_rounded_res_max_w[7],
+		exp_rounded_res_max_w = {(exp_rounded_res_max_w[6] & exp_rounded_res_w[7]), (exp_rounded_res_max_w[5] & exp_rounded_res_w[6]), (exp_rounded_res_max_w[4] & exp_rounded_res_w[5]), (exp_rounded_res_max_w[3] & exp_rounded_res_w[4]), (exp_rounded_res_max_w[2] & exp_rounded_res_w[3]), (exp_rounded_res_max_w[1] & exp_rounded_res_w[2]), (exp_rounded_res_max_w[0] & exp_rounded_res_w[1]), exp_rounded_res_w[0]},
+		exp_rounded_res_w = exp_res_rounding_adder_w[7:0],
+		exp_rounding_adjustment_w = {{8{1'b0}}, man_res_rounding_add_sub_w[24]},
+		exp_value = {1'b0, exp_res_dffe26_wo},
+		force_infinity_w = ((input_is_infinite_dffe4_wo | rounded_res_infinity_dffe4_wo) | infinite_res_dffe4_wo),
+		force_nan_w = (infinity_magnitude_sub_dffe4_wo | input_is_nan_dffe4_wo),
+		force_zero_w = (~ man_res_is_not_zero_dffe4_wo),
+		guard_bit_dffe3_wo = man_res_w3[0],
+		infinite_output_sign_dffe1_wi = (((~ input_datab_infinite_dffe15_wo) & aligned_dataa_sign_dffe15_wo) | (input_datab_infinite_dffe15_wo & (~ (aligned_datab_sign_dffe15_wo ^ add_sub_dffe15_wo)))),
+		infinite_output_sign_dffe1_wo = infinite_output_sign_dffe1,
+		infinite_output_sign_dffe21_wi = infinite_output_sign_dffe27_wo,
+		infinite_output_sign_dffe21_wo = infinite_output_sign_dffe21,
+		infinite_output_sign_dffe22_wi = infinite_output_sign_dffe2_wo,
+		infinite_output_sign_dffe22_wo = infinite_output_sign_dffe22_wi,
+		infinite_output_sign_dffe23_wi = infinite_output_sign_dffe21_wo,
+		infinite_output_sign_dffe23_wo = infinite_output_sign_dffe23_wi,
+		infinite_output_sign_dffe25_wi = infinite_output_sign_dffe1_wo,
+		infinite_output_sign_dffe25_wo = infinite_output_sign_dffe25_wi,
+		infinite_output_sign_dffe26_wi = infinite_output_sign_dffe23_wo,
+		infinite_output_sign_dffe26_wo = infinite_output_sign_dffe26_wi,
+		infinite_output_sign_dffe27_wi = infinite_output_sign_dffe22_wo,
+		infinite_output_sign_dffe27_wo = infinite_output_sign_dffe27_wi,
+		infinite_output_sign_dffe2_wi = infinite_output_sign_dffe25_wo,
+		infinite_output_sign_dffe2_wo = infinite_output_sign_dffe2,
+		infinite_output_sign_dffe31_wi = infinite_output_sign_dffe26_wo,
+		infinite_output_sign_dffe31_wo = infinite_output_sign_dffe31,
+		infinite_output_sign_dffe32_wi = infinite_output_sign_dffe31_wo,
+		infinite_output_sign_dffe32_wo = infinite_output_sign_dffe32_wi,
+		infinite_output_sign_dffe33_wi = infinite_output_sign_dffe32_wo,
+		infinite_output_sign_dffe33_wo = infinite_output_sign_dffe33_wi,
+		infinite_output_sign_dffe3_wi = infinite_output_sign_dffe33_wo,
+		infinite_output_sign_dffe3_wo = infinite_output_sign_dffe3,
+		infinite_output_sign_dffe41_wi = infinite_output_sign_dffe42_wo,
+		infinite_output_sign_dffe41_wo = infinite_output_sign_dffe41_wi,
+		infinite_output_sign_dffe42_wi = infinite_output_sign_dffe3_wo,
+		infinite_output_sign_dffe42_wo = infinite_output_sign_dffe42_wi,
+		infinite_output_sign_dffe4_wi = infinite_output_sign_dffe41_wo,
+		infinite_output_sign_dffe4_wo = infinite_output_sign_dffe4,
+		infinite_res_dff32_wi = (exp_res_max_w[7] & (~ exp_adjustment2_add_sub_w[8])),
+		infinite_res_dff32_wo = infinite_res_dff32_wi,
+		infinite_res_dff33_wi = infinite_res_dff32_wo,
+		infinite_res_dff33_wo = infinite_res_dff33_wi,
+		infinite_res_dffe3_wi = infinite_res_dff33_wo,
+		infinite_res_dffe3_wo = infinite_res_dffe3,
+		infinite_res_dffe41_wi = infinite_res_dffe42_wo,
+		infinite_res_dffe41_wo = infinite_res_dffe41_wi,
+		infinite_res_dffe42_wi = infinite_res_dffe3_wo,
+		infinite_res_dffe42_wo = infinite_res_dffe42_wi,
+		infinite_res_dffe4_wi = infinite_res_dffe41_wo,
+		infinite_res_dffe4_wo = infinite_res_dffe4,
+		infinity_magnitude_sub_dffe21_wi = infinity_magnitude_sub_dffe27_wo,
+		infinity_magnitude_sub_dffe21_wo = infinity_magnitude_sub_dffe21,
+		infinity_magnitude_sub_dffe22_wi = infinity_magnitude_sub_dffe2_wo,
+		infinity_magnitude_sub_dffe22_wo = infinity_magnitude_sub_dffe22_wi,
+		infinity_magnitude_sub_dffe23_wi = infinity_magnitude_sub_dffe21_wo,
+		infinity_magnitude_sub_dffe23_wo = infinity_magnitude_sub_dffe23_wi,
+		infinity_magnitude_sub_dffe26_wi = infinity_magnitude_sub_dffe23_wo,
+		infinity_magnitude_sub_dffe26_wo = infinity_magnitude_sub_dffe26_wi,
+		infinity_magnitude_sub_dffe27_wi = infinity_magnitude_sub_dffe22_wo,
+		infinity_magnitude_sub_dffe27_wo = infinity_magnitude_sub_dffe27_wi,
+		infinity_magnitude_sub_dffe2_wi = ((~ add_sub_dffe25_wo) & both_inputs_are_infinite_dffe25_wo),
+		infinity_magnitude_sub_dffe2_wo = infinity_magnitude_sub_dffe2,
+		infinity_magnitude_sub_dffe31_wi = infinity_magnitude_sub_dffe26_wo,
+		infinity_magnitude_sub_dffe31_wo = infinity_magnitude_sub_dffe31,
+		infinity_magnitude_sub_dffe32_wi = infinity_magnitude_sub_dffe31_wo,
+		infinity_magnitude_sub_dffe32_wo = infinity_magnitude_sub_dffe32_wi,
+		infinity_magnitude_sub_dffe33_wi = infinity_magnitude_sub_dffe32_wo,
+		infinity_magnitude_sub_dffe33_wo = infinity_magnitude_sub_dffe33_wi,
+		infinity_magnitude_sub_dffe3_wi = infinity_magnitude_sub_dffe33_wo,
+		infinity_magnitude_sub_dffe3_wo = infinity_magnitude_sub_dffe3,
+		infinity_magnitude_sub_dffe41_wi = infinity_magnitude_sub_dffe42_wo,
+		infinity_magnitude_sub_dffe41_wo = infinity_magnitude_sub_dffe41_wi,
+		infinity_magnitude_sub_dffe42_wi = infinity_magnitude_sub_dffe3_wo,
+		infinity_magnitude_sub_dffe42_wo = infinity_magnitude_sub_dffe42_wi,
+		infinity_magnitude_sub_dffe4_wi = infinity_magnitude_sub_dffe41_wo,
+		infinity_magnitude_sub_dffe4_wo = infinity_magnitude_sub_dffe4,
+		input_dataa_denormal_dffe11_wi = input_dataa_denormal_w,
+		input_dataa_denormal_dffe11_wo = input_dataa_denormal_dffe11_wi,
+		input_dataa_denormal_w = ((~ exp_a_not_zero_w[7]) & man_a_not_zero_w[22]),
+		input_dataa_infinite_dffe11_wi = input_dataa_infinite_w,
+		input_dataa_infinite_dffe11_wo = input_dataa_infinite_dffe11_wi,
+		input_dataa_infinite_dffe12_wi = input_dataa_infinite_dffe11_wo,
+		input_dataa_infinite_dffe12_wo = input_dataa_infinite_dffe12,
+		input_dataa_infinite_dffe13_wi = input_dataa_infinite_dffe12_wo,
+		input_dataa_infinite_dffe13_wo = input_dataa_infinite_dffe13_wi,
+		input_dataa_infinite_dffe14_wi = input_dataa_infinite_dffe13_wo,
+		input_dataa_infinite_dffe14_wo = input_dataa_infinite_dffe14_wi,
+		input_dataa_infinite_dffe15_wi = input_dataa_infinite_dffe14_wo,
+		input_dataa_infinite_dffe15_wo = input_dataa_infinite_dffe15_wi,
+		input_dataa_infinite_w = (exp_a_all_one_w[7] & (~ man_a_not_zero_w[22])),
+		input_dataa_nan_dffe11_wi = input_dataa_nan_w,
+		input_dataa_nan_dffe11_wo = input_dataa_nan_dffe11_wi,
+		input_dataa_nan_dffe12_wi = input_dataa_nan_dffe11_wo,
+		input_dataa_nan_dffe12_wo = input_dataa_nan_dffe12,
+		input_dataa_nan_w = (exp_a_all_one_w[7] & man_a_not_zero_w[22]),
+		input_dataa_zero_dffe11_wi = input_dataa_zero_w,
+		input_dataa_zero_dffe11_wo = input_dataa_zero_dffe11_wi,
+		input_dataa_zero_w = ((~ exp_a_not_zero_w[7]) & (~ man_a_not_zero_w[22])),
+		input_datab_denormal_dffe11_wi = input_datab_denormal_w,
+		input_datab_denormal_dffe11_wo = input_datab_denormal_dffe11_wi,
+		input_datab_denormal_w = ((~ exp_b_not_zero_w[7]) & man_b_not_zero_w[22]),
+		input_datab_infinite_dffe11_wi = input_datab_infinite_w,
+		input_datab_infinite_dffe11_wo = input_datab_infinite_dffe11_wi,
+		input_datab_infinite_dffe12_wi = input_datab_infinite_dffe11_wo,
+		input_datab_infinite_dffe12_wo = input_datab_infinite_dffe12,
+		input_datab_infinite_dffe13_wi = input_datab_infinite_dffe12_wo,
+		input_datab_infinite_dffe13_wo = input_datab_infinite_dffe13_wi,
+		input_datab_infinite_dffe14_wi = input_datab_infinite_dffe13_wo,
+		input_datab_infinite_dffe14_wo = input_datab_infinite_dffe14_wi,
+		input_datab_infinite_dffe15_wi = input_datab_infinite_dffe14_wo,
+		input_datab_infinite_dffe15_wo = input_datab_infinite_dffe15_wi,
+		input_datab_infinite_w = (exp_b_all_one_w[7] & (~ man_b_not_zero_w[22])),
+		input_datab_nan_dffe11_wi = input_datab_nan_w,
+		input_datab_nan_dffe11_wo = input_datab_nan_dffe11_wi,
+		input_datab_nan_dffe12_wi = input_datab_nan_dffe11_wo,
+		input_datab_nan_dffe12_wo = input_datab_nan_dffe12,
+		input_datab_nan_w = (exp_b_all_one_w[7] & man_b_not_zero_w[22]),
+		input_datab_zero_dffe11_wi = input_datab_zero_w,
+		input_datab_zero_dffe11_wo = input_datab_zero_dffe11_wi,
+		input_datab_zero_w = ((~ exp_b_not_zero_w[7]) & (~ man_b_not_zero_w[22])),
+		input_is_infinite_dffe1_wi = (input_dataa_infinite_dffe15_wo | input_datab_infinite_dffe15_wo),
+		input_is_infinite_dffe1_wo = input_is_infinite_dffe1,
+		input_is_infinite_dffe21_wi = input_is_infinite_dffe27_wo,
+		input_is_infinite_dffe21_wo = input_is_infinite_dffe21,
+		input_is_infinite_dffe22_wi = input_is_infinite_dffe2_wo,
+		input_is_infinite_dffe22_wo = input_is_infinite_dffe22_wi,
+		input_is_infinite_dffe23_wi = input_is_infinite_dffe21_wo,
+		input_is_infinite_dffe23_wo = input_is_infinite_dffe23_wi,
+		input_is_infinite_dffe25_wi = input_is_infinite_dffe1_wo,
+		input_is_infinite_dffe25_wo = input_is_infinite_dffe25_wi,
+		input_is_infinite_dffe26_wi = input_is_infinite_dffe23_wo,
+		input_is_infinite_dffe26_wo = input_is_infinite_dffe26_wi,
+		input_is_infinite_dffe27_wi = input_is_infinite_dffe22_wo,
+		input_is_infinite_dffe27_wo = input_is_infinite_dffe27_wi,
+		input_is_infinite_dffe2_wi = input_is_infinite_dffe25_wo,
+		input_is_infinite_dffe2_wo = input_is_infinite_dffe2,
+		input_is_infinite_dffe31_wi = input_is_infinite_dffe26_wo,
+		input_is_infinite_dffe31_wo = input_is_infinite_dffe31,
+		input_is_infinite_dffe32_wi = input_is_infinite_dffe31_wo,
+		input_is_infinite_dffe32_wo = input_is_infinite_dffe32_wi,
+		input_is_infinite_dffe33_wi = input_is_infinite_dffe32_wo,
+		input_is_infinite_dffe33_wo = input_is_infinite_dffe33_wi,
+		input_is_infinite_dffe3_wi = input_is_infinite_dffe33_wo,
+		input_is_infinite_dffe3_wo = input_is_infinite_dffe3,
+		input_is_infinite_dffe41_wi = input_is_infinite_dffe42_wo,
+		input_is_infinite_dffe41_wo = input_is_infinite_dffe41_wi,
+		input_is_infinite_dffe42_wi = input_is_infinite_dffe3_wo,
+		input_is_infinite_dffe42_wo = input_is_infinite_dffe42_wi,
+		input_is_infinite_dffe4_wi = input_is_infinite_dffe41_wo,
+		input_is_infinite_dffe4_wo = input_is_infinite_dffe4,
+		input_is_nan_dffe13_wi = (input_dataa_nan_dffe12_wo | input_datab_nan_dffe12_wo),
+		input_is_nan_dffe13_wo = input_is_nan_dffe13_wi,
+		input_is_nan_dffe14_wi = input_is_nan_dffe13_wo,
+		input_is_nan_dffe14_wo = input_is_nan_dffe14_wi,
+		input_is_nan_dffe15_wi = input_is_nan_dffe14_wo,
+		input_is_nan_dffe15_wo = input_is_nan_dffe15_wi,
+		input_is_nan_dffe1_wi = input_is_nan_dffe15_wo,
+		input_is_nan_dffe1_wo = input_is_nan_dffe1,
+		input_is_nan_dffe21_wi = input_is_nan_dffe27_wo,
+		input_is_nan_dffe21_wo = input_is_nan_dffe21,
+		input_is_nan_dffe22_wi = input_is_nan_dffe2_wo,
+		input_is_nan_dffe22_wo = input_is_nan_dffe22_wi,
+		input_is_nan_dffe23_wi = input_is_nan_dffe21_wo,
+		input_is_nan_dffe23_wo = input_is_nan_dffe23_wi,
+		input_is_nan_dffe25_wi = input_is_nan_dffe1_wo,
+		input_is_nan_dffe25_wo = input_is_nan_dffe25_wi,
+		input_is_nan_dffe26_wi = input_is_nan_dffe23_wo,
+		input_is_nan_dffe26_wo = input_is_nan_dffe26_wi,
+		input_is_nan_dffe27_wi = input_is_nan_dffe22_wo,
+		input_is_nan_dffe27_wo = input_is_nan_dffe27_wi,
+		input_is_nan_dffe2_wi = input_is_nan_dffe25_wo,
+		input_is_nan_dffe2_wo = input_is_nan_dffe2,
+		input_is_nan_dffe31_wi = input_is_nan_dffe26_wo,
+		input_is_nan_dffe31_wo = input_is_nan_dffe31,
+		input_is_nan_dffe32_wi = input_is_nan_dffe31_wo,
+		input_is_nan_dffe32_wo = input_is_nan_dffe32_wi,
+		input_is_nan_dffe33_wi = input_is_nan_dffe32_wo,
+		input_is_nan_dffe33_wo = input_is_nan_dffe33_wi,
+		input_is_nan_dffe3_wi = input_is_nan_dffe33_wo,
+		input_is_nan_dffe3_wo = input_is_nan_dffe3,
+		input_is_nan_dffe41_wi = input_is_nan_dffe42_wo,
+		input_is_nan_dffe41_wo = input_is_nan_dffe41_wi,
+		input_is_nan_dffe42_wi = input_is_nan_dffe3_wo,
+		input_is_nan_dffe42_wo = input_is_nan_dffe42_wi,
+		input_is_nan_dffe4_wi = input_is_nan_dffe41_wo,
+		input_is_nan_dffe4_wo = input_is_nan_dffe4,
+		man_2comp_res_dataa_w = {pos_sign_bit_ext, datab_man_dffe1_wo},
+		man_2comp_res_datab_w = {pos_sign_bit_ext, dataa_man_dffe1_wo},
+		man_2comp_res_w = {(({14{(~ wire_man_2comp_res_lower_cout)}} & wire_man_2comp_res_upper0_result) | ({14{wire_man_2comp_res_lower_cout}} & wire_man_2comp_res_upper1_result)), wire_man_2comp_res_lower_result},
+		man_a_not_zero_w = {(dataa[22] | man_a_not_zero_w[21]), (dataa[21] | man_a_not_zero_w[20]), (dataa[20] | man_a_not_zero_w[19]), (dataa[19] | man_a_not_zero_w[18]), (dataa[18] | man_a_not_zero_w[17]), (dataa[17] | man_a_not_zero_w[16]), (dataa[16] | man_a_not_zero_w[15]), (dataa[15] | man_a_not_zero_w[14]), (dataa[14] | man_a_not_zero_w[13]), (dataa[13] | man_a_not_zero_w[12]), (dataa[12] | man_a_not_zero_w[11]), (dataa[11] | man_a_not_zero_w[10]), (dataa[10] | man_a_not_zero_w[9]), (dataa[9] | man_a_not_zero_w[8]), (dataa[8] | man_a_not_zero_w[7]), (dataa[7] | man_a_not_zero_w[6]), (dataa[6] | man_a_not_zero_w[5]), (dataa[5] | man_a_not_zero_w[4]), (dataa[4] | man_a_not_zero_w[3]), (dataa[3] | man_a_not_zero_w[2]), (dataa[2] | man_a_not_zero_w[1]), (dataa[1] | man_a_not_zero_w[0]), dataa[0]},
+		man_add_sub_dataa_w = {pos_sign_bit_ext, dataa_man_dffe1_wo},
+		man_add_sub_datab_w = {pos_sign_bit_ext, datab_man_dffe1_wo},
+		man_add_sub_res_mag_dffe21_wi = man_res_mag_w2,
+		man_add_sub_res_mag_dffe21_wo = man_add_sub_res_mag_dffe21,
+		man_add_sub_res_mag_dffe23_wi = man_add_sub_res_mag_dffe21_wo,
+		man_add_sub_res_mag_dffe23_wo = man_add_sub_res_mag_dffe23_wi,
+		man_add_sub_res_mag_dffe26_wi = man_add_sub_res_mag_dffe23_wo,
+		man_add_sub_res_mag_dffe26_wo = man_add_sub_res_mag_dffe26_wi,
+		man_add_sub_res_mag_dffe27_wi = man_add_sub_res_mag_w2,
+		man_add_sub_res_mag_dffe27_wo = man_add_sub_res_mag_dffe27_wi,
+		man_add_sub_res_mag_w2 = (({28{man_add_sub_w[27]}} & man_2comp_res_w) | ({28{(~ man_add_sub_w[27])}} & man_add_sub_w)),
+		man_add_sub_res_sign_dffe21_wo = man_add_sub_res_sign_dffe21,
+		man_add_sub_res_sign_dffe23_wi = man_add_sub_res_sign_dffe21_wo,
+		man_add_sub_res_sign_dffe23_wo = man_add_sub_res_sign_dffe23_wi,
+		man_add_sub_res_sign_dffe26_wi = man_add_sub_res_sign_dffe23_wo,
+		man_add_sub_res_sign_dffe26_wo = man_add_sub_res_sign_dffe26_wi,
+		man_add_sub_res_sign_dffe27_wi = man_add_sub_res_sign_w2,
+		man_add_sub_res_sign_dffe27_wo = man_add_sub_res_sign_dffe27_wi,
+		man_add_sub_res_sign_w2 = ((need_complement_dffe22_wo & (~ man_add_sub_w[27])) | ((~ need_complement_dffe22_wo) & man_add_sub_w[27])),
+		man_add_sub_w = {(({14{(~ wire_man_add_sub_lower_cout)}} & wire_man_add_sub_upper0_result) | ({14{wire_man_add_sub_lower_cout}} & wire_man_add_sub_upper1_result)), wire_man_add_sub_lower_result},
+		man_all_zeros_w = {23{1'b0}},
+		man_b_not_zero_w = {(datab[22] | man_b_not_zero_w[21]), (datab[21] | man_b_not_zero_w[20]), (datab[20] | man_b_not_zero_w[19]), (datab[19] | man_b_not_zero_w[18]), (datab[18] | man_b_not_zero_w[17]), (datab[17] | man_b_not_zero_w[16]), (datab[16] | man_b_not_zero_w[15]), (datab[15] | man_b_not_zero_w[14]), (datab[14] | man_b_not_zero_w[13]), (datab[13] | man_b_not_zero_w[12]), (datab[12] | man_b_not_zero_w[11]), (datab[11] | man_b_not_zero_w[10]), (datab[10] | man_b_not_zero_w[9]), (datab[9] | man_b_not_zero_w[8]), (datab[8] | man_b_not_zero_w[7]), (datab[7] | man_b_not_zero_w[6]), (datab[6] | man_b_not_zero_w[5]), (datab[5] | man_b_not_zero_w[4]), (datab[4] | man_b_not_zero_w[3]), (datab[3] | man_b_not_zero_w[2]), (datab[2] | man_b_not_zero_w[1]), (datab[1] | man_b_not_zero_w[0]), datab[0]},
+		man_dffe31_wo = man_dffe31,
+		man_intermediate_res_w = {{2{1'b0}}, man_res_w3},
+		man_leading_zeros_cnt_w = man_leading_zeros_dffe31_wo,
+		man_leading_zeros_dffe31_wi = (~ wire_leading_zeroes_cnt_q),
+		man_leading_zeros_dffe31_wo = man_leading_zeros_dffe31,
+		man_nan_w = 23'b10000000000000000000000,
+		man_out_dffe5_wi = (({23{force_nan_w}} & man_nan_w) | ({23{(~ force_nan_w)}} & (({23{force_infinity_w}} & man_all_zeros_w) | ({23{(~ force_infinity_w)}} & (({23{(force_zero_w | denormal_flag_w)}} & man_all_zeros_w) | ({23{(~ (force_zero_w | denormal_flag_w))}} & man_res_dffe4_wo)))))),
+		man_out_dffe5_wo = man_out_dffe5,
+		man_res_dffe4_wi = man_rounded_res_w,
+		man_res_dffe4_wo = man_res_dffe4,
+		man_res_is_not_zero_dffe31_wi = man_res_not_zero_dffe26_wo,
+		man_res_is_not_zero_dffe31_wo = man_res_is_not_zero_dffe31,
+		man_res_is_not_zero_dffe32_wi = man_res_is_not_zero_dffe31_wo,
+		man_res_is_not_zero_dffe32_wo = man_res_is_not_zero_dffe32_wi,
+		man_res_is_not_zero_dffe33_wi = man_res_is_not_zero_dffe32_wo,
+		man_res_is_not_zero_dffe33_wo = man_res_is_not_zero_dffe33_wi,
+		man_res_is_not_zero_dffe3_wi = man_res_is_not_zero_dffe33_wo,
+		man_res_is_not_zero_dffe3_wo = man_res_is_not_zero_dffe3,
+		man_res_is_not_zero_dffe41_wi = man_res_is_not_zero_dffe42_wo,
+		man_res_is_not_zero_dffe41_wo = man_res_is_not_zero_dffe41_wi,
+		man_res_is_not_zero_dffe42_wi = man_res_is_not_zero_dffe3_wo,
+		man_res_is_not_zero_dffe42_wo = man_res_is_not_zero_dffe42_wi,
+		man_res_is_not_zero_dffe4_wi = man_res_is_not_zero_dffe41_wo,
+		man_res_is_not_zero_dffe4_wo = man_res_is_not_zero_dffe4,
+		man_res_mag_w2 = (({26{man_add_sub_res_mag_dffe27_wo[26]}} & man_add_sub_res_mag_dffe27_wo[26:1]) | ({26{(~ man_add_sub_res_mag_dffe27_wo[26])}} & man_add_sub_res_mag_dffe27_wo[25:0])),
+		man_res_not_zero_dffe23_wi = man_res_not_zero_w2[24],
+		man_res_not_zero_dffe23_wo = man_res_not_zero_dffe23_wi,
+		man_res_not_zero_dffe26_wi = man_res_not_zero_dffe23_wo,
+		man_res_not_zero_dffe26_wo = man_res_not_zero_dffe26_wi,
+		man_res_not_zero_w2 = {(man_res_not_zero_w2[23] | man_add_sub_res_mag_dffe21_wo[25]), (man_res_not_zero_w2[22] | man_add_sub_res_mag_dffe21_wo[24]), (man_res_not_zero_w2[21] | man_add_sub_res_mag_dffe21_wo[23]), (man_res_not_zero_w2[20] | man_add_sub_res_mag_dffe21_wo[22]), (man_res_not_zero_w2[19] | man_add_sub_res_mag_dffe21_wo[21]), (man_res_not_zero_w2[18] | man_add_sub_res_mag_dffe21_wo[20]), (man_res_not_zero_w2[17] | man_add_sub_res_mag_dffe21_wo[19]), (man_res_not_zero_w2[16] | man_add_sub_res_mag_dffe21_wo[18]), (man_res_not_zero_w2[15] | man_add_sub_res_mag_dffe21_wo[17]), (man_res_not_zero_w2[14] | man_add_sub_res_mag_dffe21_wo[16]), (man_res_not_zero_w2[13] | man_add_sub_res_mag_dffe21_wo[15]), (man_res_not_zero_w2[12] | man_add_sub_res_mag_dffe21_wo[14]), (man_res_not_zero_w2[11] | man_add_sub_res_mag_dffe21_wo[13]), (man_res_not_zero_w2[10] | man_add_sub_res_mag_dffe21_wo[12]), (man_res_not_zero_w2[9] | man_add_sub_res_mag_dffe21_wo[11]), (man_res_not_zero_w2[8] | man_add_sub_res_mag_dffe21_wo[10]), (man_res_not_zero_w2[7] | man_add_sub_res_mag_dffe21_wo[9]), (man_res_not_zero_w2[6] | man_add_sub_res_mag_dffe21_wo[8]), (man_res_not_zero_w2[5] | man_add_sub_res_mag_dffe21_wo[7]), (man_res_not_zero_w2[4] | man_add_sub_res_mag_dffe21_wo[6]), (man_res_not_zero_w2[3] | man_add_sub_res_mag_dffe21_wo[5]), (man_res_not_zero_w2[2] | man_add_sub_res_mag_dffe21_wo[4]), (man_res_not_zero_w2[1] | man_add_sub_res_mag_dffe21_wo[3]), (man_res_not_zero_w2[0] | man_add_sub_res_mag_dffe21_wo[2]), man_add_sub_res_mag_dffe21_wo[1]},
+		man_res_rounding_add_sub_datab_w = {{25{1'b0}}, man_rounding_add_value_w},
+		man_res_rounding_add_sub_w = {(({13{(~ wire_man_res_rounding_add_sub_lower_cout)}} & adder_upper_w) | ({13{wire_man_res_rounding_add_sub_lower_cout}} & wire_man_res_rounding_add_sub_upper1_result)), wire_man_res_rounding_add_sub_lower_result},
+		man_res_w3 = wire_lbarrel_shift_result[25:2],
+		man_rounded_res_w = (({23{man_res_rounding_add_sub_w[24]}} & man_res_rounding_add_sub_w[23:1]) | ({23{(~ man_res_rounding_add_sub_w[24])}} & man_res_rounding_add_sub_w[22:0])),
+		man_rounding_add_value_w = (round_bit_dffe3_wo & (sticky_bit_dffe3_wo | guard_bit_dffe3_wo)),
+		man_smaller_dffe13_wi = man_smaller_w,
+		man_smaller_dffe13_wo = man_smaller_dffe13_wi,
+		man_smaller_w = (({24{exp_amb_mux_w}} & aligned_dataa_man_dffe12_wo) | ({24{(~ exp_amb_mux_w)}} & aligned_datab_man_dffe12_wo)),
+		need_complement_dffe22_wi = need_complement_dffe2_wo,
+		need_complement_dffe22_wo = need_complement_dffe22_wi,
+		need_complement_dffe2_wi = dataa_sign_dffe25_wo,
+		need_complement_dffe2_wo = need_complement_dffe2,
+		pos_sign_bit_ext = {2{1'b0}},
+		priority_encoder_1pads_w = {4{1'b1}},
+		result = {sign_out_dffe5_wo, exp_out_dffe5_wo, man_out_dffe5_wo},
+		round_bit_dffe21_wi = round_bit_w,
+		round_bit_dffe21_wo = round_bit_dffe21,
+		round_bit_dffe23_wi = round_bit_dffe21_wo,
+		round_bit_dffe23_wo = round_bit_dffe23_wi,
+		round_bit_dffe26_wi = round_bit_dffe23_wo,
+		round_bit_dffe26_wo = round_bit_dffe26_wi,
+		round_bit_dffe31_wi = round_bit_dffe26_wo,
+		round_bit_dffe31_wo = round_bit_dffe31,
+		round_bit_dffe32_wi = round_bit_dffe31_wo,
+		round_bit_dffe32_wo = round_bit_dffe32_wi,
+		round_bit_dffe33_wi = round_bit_dffe32_wo,
+		round_bit_dffe33_wo = round_bit_dffe33_wi,
+		round_bit_dffe3_wi = round_bit_dffe33_wo,
+		round_bit_dffe3_wo = round_bit_dffe3,
+		round_bit_w = ((((((~ man_add_sub_res_mag_dffe27_wo[26]) & (~ man_add_sub_res_mag_dffe27_wo[25])) & man_add_sub_res_mag_dffe27_wo[0]) | (((~ man_add_sub_res_mag_dffe27_wo[26]) & man_add_sub_res_mag_dffe27_wo[25]) & man_add_sub_res_mag_dffe27_wo[1])) | ((man_add_sub_res_mag_dffe27_wo[26] & (~ man_add_sub_res_mag_dffe27_wo[25])) & man_add_sub_res_mag_dffe27_wo[2])) | ((man_add_sub_res_mag_dffe27_wo[26] & man_add_sub_res_mag_dffe27_wo[25]) & man_add_sub_res_mag_dffe27_wo[2])),
+		rounded_res_infinity_dffe4_wi = exp_rounded_res_infinity_w,
+		rounded_res_infinity_dffe4_wo = rounded_res_infinity_dffe4,
+		rshift_distance_dffe13_wi = rshift_distance_w,
+		rshift_distance_dffe13_wo = rshift_distance_dffe13_wi,
+		rshift_distance_dffe14_wi = rshift_distance_dffe13_wo,
+		rshift_distance_dffe14_wo = rshift_distance_dffe14_wi,
+		rshift_distance_dffe15_wi = rshift_distance_dffe14_wo,
+		rshift_distance_dffe15_wo = rshift_distance_dffe15_wi,
+		rshift_distance_w = (({5{exp_diff_abs_exceed_max_w[2]}} & exp_diff_abs_max_w) | ({5{(~ exp_diff_abs_exceed_max_w[2])}} & exp_diff_abs_w[4:0])),
+		sign_dffe31_wi = ((man_res_not_zero_dffe26_wo & man_add_sub_res_sign_dffe26_wo) | ((~ man_res_not_zero_dffe26_wo) & zero_man_sign_dffe26_wo)),
+		sign_dffe31_wo = sign_dffe31,
+		sign_dffe32_wi = sign_dffe31_wo,
+		sign_dffe32_wo = sign_dffe32_wi,
+		sign_dffe33_wi = sign_dffe32_wo,
+		sign_dffe33_wo = sign_dffe33_wi,
+		sign_out_dffe5_wi = ((~ force_nan_w) & ((force_infinity_w & infinite_output_sign_dffe4_wo) | ((~ force_infinity_w) & sign_res_dffe4_wo))),
+		sign_out_dffe5_wo = sign_out_dffe5,
+		sign_res_dffe3_wi = sign_dffe33_wo,
+		sign_res_dffe3_wo = sign_res_dffe3,
+		sign_res_dffe41_wi = sign_res_dffe42_wo,
+		sign_res_dffe41_wo = sign_res_dffe41_wi,
+		sign_res_dffe42_wi = sign_res_dffe3_wo,
+		sign_res_dffe42_wo = sign_res_dffe42_wi,
+		sign_res_dffe4_wi = sign_res_dffe41_wo,
+		sign_res_dffe4_wo = sign_res_dffe4,
+		sticky_bit_cnt_dataa_w = {1'b0, rshift_distance_dffe15_wo},
+		sticky_bit_cnt_datab_w = {1'b0, wire_trailing_zeros_cnt_q},
+		sticky_bit_cnt_res_w = wire_add_sub3_result,
+		sticky_bit_dffe1_wi = wire_trailing_zeros_limit_comparator_agb,
+		sticky_bit_dffe1_wo = sticky_bit_dffe1,
+		sticky_bit_dffe21_wi = sticky_bit_w,
+		sticky_bit_dffe21_wo = sticky_bit_dffe21,
+		sticky_bit_dffe22_wi = sticky_bit_dffe2_wo,
+		sticky_bit_dffe22_wo = sticky_bit_dffe22_wi,
+		sticky_bit_dffe23_wi = sticky_bit_dffe21_wo,
+		sticky_bit_dffe23_wo = sticky_bit_dffe23_wi,
+		sticky_bit_dffe25_wi = sticky_bit_dffe1_wo,
+		sticky_bit_dffe25_wo = sticky_bit_dffe25_wi,
+		sticky_bit_dffe26_wi = sticky_bit_dffe23_wo,
+		sticky_bit_dffe26_wo = sticky_bit_dffe26_wi,
+		sticky_bit_dffe27_wi = sticky_bit_dffe22_wo,
+		sticky_bit_dffe27_wo = sticky_bit_dffe27_wi,
+		sticky_bit_dffe2_wi = sticky_bit_dffe25_wo,
+		sticky_bit_dffe2_wo = sticky_bit_dffe2,
+		sticky_bit_dffe31_wi = sticky_bit_dffe26_wo,
+		sticky_bit_dffe31_wo = sticky_bit_dffe31,
+		sticky_bit_dffe32_wi = sticky_bit_dffe31_wo,
+		sticky_bit_dffe32_wo = sticky_bit_dffe32_wi,
+		sticky_bit_dffe33_wi = sticky_bit_dffe32_wo,
+		sticky_bit_dffe33_wo = sticky_bit_dffe33_wi,
+		sticky_bit_dffe3_wi = sticky_bit_dffe33_wo,
+		sticky_bit_dffe3_wo = sticky_bit_dffe3,
+		sticky_bit_w = ((((((~ man_add_sub_res_mag_dffe27_wo[26]) & (~ man_add_sub_res_mag_dffe27_wo[25])) & sticky_bit_dffe27_wo) | (((~ man_add_sub_res_mag_dffe27_wo[26]) & man_add_sub_res_mag_dffe27_wo[25]) & (sticky_bit_dffe27_wo | man_add_sub_res_mag_dffe27_wo[0]))) | ((man_add_sub_res_mag_dffe27_wo[26] & (~ man_add_sub_res_mag_dffe27_wo[25])) & ((sticky_bit_dffe27_wo | man_add_sub_res_mag_dffe27_wo[0]) | man_add_sub_res_mag_dffe27_wo[1]))) | ((man_add_sub_res_mag_dffe27_wo[26] & man_add_sub_res_mag_dffe27_wo[25]) & ((sticky_bit_dffe27_wo | man_add_sub_res_mag_dffe27_wo[0]) | man_add_sub_res_mag_dffe27_wo[1]))),
+		trailing_zeros_limit_w = 6'b000010,
+		zero_man_sign_dffe21_wi = zero_man_sign_dffe27_wo,
+		zero_man_sign_dffe21_wo = zero_man_sign_dffe21,
+		zero_man_sign_dffe22_wi = zero_man_sign_dffe2_wo,
+		zero_man_sign_dffe22_wo = zero_man_sign_dffe22_wi,
+		zero_man_sign_dffe23_wi = zero_man_sign_dffe21_wo,
+		zero_man_sign_dffe23_wo = zero_man_sign_dffe23_wi,
+		zero_man_sign_dffe26_wi = zero_man_sign_dffe23_wo,
+		zero_man_sign_dffe26_wo = zero_man_sign_dffe26_wi,
+		zero_man_sign_dffe27_wi = zero_man_sign_dffe22_wo,
+		zero_man_sign_dffe27_wo = zero_man_sign_dffe27_wi,
+		zero_man_sign_dffe2_wi = (dataa_sign_dffe25_wo & add_sub_dffe25_wo),
+		zero_man_sign_dffe2_wo = zero_man_sign_dffe2;
+endmodule //fpoint_hw_qsys_addsub_single
+//VALID FILE
+
+//altfp_div CBX_AUTO_BLACKBOX="ON" CBX_SINGLE_OUTPUT_FILE="ON" DECODER_SUPPORT="YES" DENORMAL_SUPPORT="NO" DEVICE_FAMILY="STRATIXIV" EXCEPTION_HANDLING="NO" PIPELINE=33 REDUCED_FUNCTIONALITY="NO" WIDTH_EXP=8 WIDTH_MAN=23 aclr clk_en clock dataa datab result
+//VERSION_BEGIN 10.1 cbx_altbarrel_shift 2010:09:06:21:07:24:PN cbx_altfp_div 2010:09:06:21:07:24:PN cbx_altsyncram 2010:09:06:21:07:25:PN cbx_cycloneii 2010:09:06:21:07:25:PN cbx_lpm_abs 2010:09:06:21:07:25:PN cbx_lpm_add_sub 2010:09:06:21:07:25:PN cbx_lpm_compare 2010:09:06:21:07:25:PN cbx_lpm_decode 2010:09:06:21:07:25:PN cbx_lpm_divide 2010:09:06:21:07:25:PN cbx_lpm_mult 2010:09:06:21:07:25:PN cbx_lpm_mux 2010:09:06:21:07:25:PN cbx_mgl 2010:09:06:21:22:18:PN cbx_padd 2010:09:06:21:07:25:PN cbx_stratix 2010:09:06:21:07:25:PN cbx_stratixii 2010:09:06:21:07:25:PN cbx_stratixiii 2010:09:06:21:07:25:PN cbx_stratixv 2010:09:06:21:07:25:PN cbx_util_mgl 2010:09:06:21:07:25:PN  VERSION_END
+// synthesis VERILOG_INPUT_VERSION VERILOG_2001
+// altera message_off 10463
+
+
+
+// Copyright (C) 1991-2010 Altera Corporation
+//  Your use of Altera Corporation's design tools, logic functions 
+//  and other software and tools, and its AMPP partner logic 
+//  functions, and any output files from any of the foregoing 
+//  (including device programming or simulation files), and any 
+//  associated documentation or information are expressly subject 
+//  to the terms and conditions of the Altera Program License 
+//  Subscription Agreement, Altera MegaCore Function License 
+//  Agreement, or other applicable license agreement, including, 
+//  without limitation, that your use is for the sole purpose of 
+//  programming logic devices manufactured by Altera and sold by 
+//  Altera or its authorized distributors.  Please refer to the 
+//  applicable agreement for further details.
+
+
+
+
+//altfp_div_csa CARRY_SELECT="YES" CBX_AUTO_BLACKBOX="ON" CBX_SINGLE_OUTPUT_FILE="ON" DATAB_IS_CONSTANT="YES" LPM_DIRECTION="ADD" LPM_WIDTH=24 cin cout dataa datab result
+//VERSION_BEGIN 10.1 cbx_altbarrel_shift 2010:09:06:21:07:24:PN cbx_altfp_div 2010:09:06:21:07:24:PN cbx_altsyncram 2010:09:06:21:07:25:PN cbx_cycloneii 2010:09:06:21:07:25:PN cbx_lpm_abs 2010:09:06:21:07:25:PN cbx_lpm_add_sub 2010:09:06:21:07:25:PN cbx_lpm_compare 2010:09:06:21:07:25:PN cbx_lpm_decode 2010:09:06:21:07:25:PN cbx_lpm_divide 2010:09:06:21:07:25:PN cbx_lpm_mult 2010:09:06:21:07:25:PN cbx_lpm_mux 2010:09:06:21:07:25:PN cbx_mgl 2010:09:06:21:22:18:PN cbx_padd 2010:09:06:21:07:25:PN cbx_stratix 2010:09:06:21:07:25:PN cbx_stratixii 2010:09:06:21:07:25:PN cbx_stratixiii 2010:09:06:21:07:25:PN cbx_stratixv 2010:09:06:21:07:25:PN cbx_util_mgl 2010:09:06:21:07:25:PN  VERSION_END
+
+//synthesis_resources = lpm_add_sub 2 
+//synopsys translate_off
+`timescale 1 ps / 1 ps
+//synopsys translate_on
+module  fpoint_hw_qsys_div_single_altfp_div_csa_vhf
+	( 
+	cin,
+	cout,
+	dataa,
+	datab,
+	result) /* synthesis synthesis_clearbox=1 */;
+	input   cin;
+	output   cout;
+	input   [23:0]  dataa;
+	input   [23:0]  datab;
+	output   [23:0]  result;
+`ifndef ALTERA_RESERVED_QIS
+// synopsys translate_off
+`endif
+	tri0   cin;
+	tri0   [23:0]  dataa;
+	tri0   [23:0]  datab;
+`ifndef ALTERA_RESERVED_QIS
+// synopsys translate_on
+`endif
+
+	wire  wire_csa_lower_cout;
+	wire  [11:0]   wire_csa_lower_result;
+	wire  wire_csa_upper1_cout;
+	wire  [11:0]   wire_csa_upper1_result;
+	wire  [11:0]  adder_upper_w;
+	wire  cout_w;
+	wire  [23:0]  result_w;
+
+	lpm_add_sub   csa_lower
+	( 
+	.cin(cin),
+	.cout(wire_csa_lower_cout),
+	.dataa(dataa[11:0]),
+	.datab(datab[11:0]),
+	.overflow(),
+	.result(wire_csa_lower_result)
+	`ifndef FORMAL_VERIFICATION
+	// synopsys translate_off
+	`endif
+	,
+	.aclr(1'b0),
+	.add_sub(1'b1),
+	.clken(1'b1),
+	.clock(1'b0)
+	`ifndef FORMAL_VERIFICATION
+	// synopsys translate_on
+	`endif
+	);
+	defparam
+		csa_lower.lpm_direction = "ADD",
+		csa_lower.lpm_representation = "SIGNED",
+		csa_lower.lpm_width = 12,
+		csa_lower.lpm_type = "lpm_add_sub";
+	lpm_add_sub   csa_upper1
+	( 
+	.cin(1'b1),
+	.cout(wire_csa_upper1_cout),
+	.dataa(dataa[23:12]),
+	.datab(datab[23:12]),
+	.overflow(),
+	.result(wire_csa_upper1_result)
+	`ifndef FORMAL_VERIFICATION
+	// synopsys translate_off
+	`endif
+	,
+	.aclr(1'b0),
+	.add_sub(1'b1),
+	.clken(1'b1),
+	.clock(1'b0)
+	`ifndef FORMAL_VERIFICATION
+	// synopsys translate_on
+	`endif
+	);
+	defparam
+		csa_upper1.lpm_direction = "ADD",
+		csa_upper1.lpm_representation = "SIGNED",
+		csa_upper1.lpm_width = 12,
+		csa_upper1.lpm_type = "lpm_add_sub";
+	assign
+		adder_upper_w = dataa[23:12],
+		cout = cout_w,
+		cout_w = (wire_csa_lower_cout & wire_csa_upper1_cout),
+		result = result_w,
+		result_w = {(({12{(~ wire_csa_lower_cout)}} & adder_upper_w) | ({12{wire_csa_lower_cout}} & wire_csa_upper1_result)), wire_csa_lower_result};
+endmodule //fpoint_hw_qsys_div_single_altfp_div_csa_vhf
+
+
+//altfp_div_srt_ext CBX_AUTO_BLACKBOX="ON" CBX_SINGLE_OUTPUT_FILE="ON" DEVICE_FAMILY="STRATIXIV" ITERATION=14 OPTMIZE="SPEED" WIDTH_DIV=24 aclr clken clock denom divider numer quotient remain
+//VERSION_BEGIN 10.1 cbx_altbarrel_shift 2010:09:06:21:07:24:PN cbx_altfp_div 2010:09:06:21:07:24:PN cbx_altsyncram 2010:09:06:21:07:25:PN cbx_cycloneii 2010:09:06:21:07:25:PN cbx_lpm_abs 2010:09:06:21:07:25:PN cbx_lpm_add_sub 2010:09:06:21:07:25:PN cbx_lpm_compare 2010:09:06:21:07:25:PN cbx_lpm_decode 2010:09:06:21:07:25:PN cbx_lpm_divide 2010:09:06:21:07:25:PN cbx_lpm_mult 2010:09:06:21:07:25:PN cbx_lpm_mux 2010:09:06:21:07:25:PN cbx_mgl 2010:09:06:21:22:18:PN cbx_padd 2010:09:06:21:07:25:PN cbx_stratix 2010:09:06:21:07:25:PN cbx_stratixii 2010:09:06:21:07:25:PN cbx_stratixiii 2010:09:06:21:07:25:PN cbx_stratixv 2010:09:06:21:07:25:PN cbx_util_mgl 2010:09:06:21:07:25:PN  VERSION_END
+
+
+//altfp_div_csa CARRY_SELECT="YES" CBX_AUTO_BLACKBOX="ON" CBX_SINGLE_OUTPUT_FILE="ON" DATAB_IS_CONSTANT="NO" LPM_DIRECTION="ADD" LPM_WIDTH=24 dataa datab result
+//VERSION_BEGIN 10.1 cbx_altbarrel_shift 2010:09:06:21:07:24:PN cbx_altfp_div 2010:09:06:21:07:24:PN cbx_altsyncram 2010:09:06:21:07:25:PN cbx_cycloneii 2010:09:06:21:07:25:PN cbx_lpm_abs 2010:09:06:21:07:25:PN cbx_lpm_add_sub 2010:09:06:21:07:25:PN cbx_lpm_compare 2010:09:06:21:07:25:PN cbx_lpm_decode 2010:09:06:21:07:25:PN cbx_lpm_divide 2010:09:06:21:07:25:PN cbx_lpm_mult 2010:09:06:21:07:25:PN cbx_lpm_mux 2010:09:06:21:07:25:PN cbx_mgl 2010:09:06:21:22:18:PN cbx_padd 2010:09:06:21:07:25:PN cbx_stratix 2010:09:06:21:07:25:PN cbx_stratixii 2010:09:06:21:07:25:PN cbx_stratixiii 2010:09:06:21:07:25:PN cbx_stratixv 2010:09:06:21:07:25:PN cbx_util_mgl 2010:09:06:21:07:25:PN  VERSION_END
+
+//synthesis_resources = lpm_add_sub 3 
+//synopsys translate_off
+`timescale 1 ps / 1 ps
+//synopsys translate_on
+module  fpoint_hw_qsys_div_single_altfp_div_csa_mke
+	( 
+	dataa,
+	datab,
+	result) /* synthesis synthesis_clearbox=1 */;
+	input   [23:0]  dataa;
+	input   [23:0]  datab;
+	output   [23:0]  result;
+`ifndef ALTERA_RESERVED_QIS
+// synopsys translate_off
+`endif
+	tri0   [23:0]  dataa;
+	tri0   [23:0]  datab;
+`ifndef ALTERA_RESERVED_QIS
+// synopsys translate_on
+`endif
+
+	wire  wire_csa_lower_cout;
+	wire  [11:0]   wire_csa_lower_result;
+	wire  [11:0]   wire_csa_upper0_result;
+	wire  [11:0]   wire_csa_upper1_result;
+	wire  [23:0]  result_w;
+
+	lpm_add_sub   csa_lower
+	( 
+	.cout(wire_csa_lower_cout),
+	.dataa(dataa[11:0]),
+	.datab(datab[11:0]),
+	.overflow(),
+	.result(wire_csa_lower_result)
+	`ifndef FORMAL_VERIFICATION
+	// synopsys translate_off
+	`endif
+	,
+	.aclr(1'b0),
+	.add_sub(1'b1),
+	.cin(),
+	.clken(1'b1),
+	.clock(1'b0)
+	`ifndef FORMAL_VERIFICATION
+	// synopsys translate_on
+	`endif
+	);
+	defparam
+		csa_lower.lpm_direction = "ADD",
+		csa_lower.lpm_representation = "SIGNED",
+		csa_lower.lpm_width = 12,
+		csa_lower.lpm_type = "lpm_add_sub";
+	lpm_add_sub   csa_upper0
+	( 
+	.cin(1'b0),
+	.cout(),
+	.dataa(dataa[23:12]),
+	.datab(datab[23:12]),
+	.overflow(),
+	.result(wire_csa_upper0_result)
+	`ifndef FORMAL_VERIFICATION
+	// synopsys translate_off
+	`endif
+	,
+	.aclr(1'b0),
+	.add_sub(1'b1),
+	.clken(1'b1),
+	.clock(1'b0)
+	`ifndef FORMAL_VERIFICATION
+	// synopsys translate_on
+	`endif
+	);
+	defparam
+		csa_upper0.lpm_direction = "ADD",
+		csa_upper0.lpm_representation = "SIGNED",
+		csa_upper0.lpm_width = 12,
+		csa_upper0.lpm_type = "lpm_add_sub";
+	lpm_add_sub   csa_upper1
+	( 
+	.cin(1'b1),
+	.cout(),
+	.dataa(dataa[23:12]),
+	.datab(datab[23:12]),
+	.overflow(),
+	.result(wire_csa_upper1_result)
+	`ifndef FORMAL_VERIFICATION
+	// synopsys translate_off
+	`endif
+	,
+	.aclr(1'b0),
+	.add_sub(1'b1),
+	.clken(1'b1),
+	.clock(1'b0)
+	`ifndef FORMAL_VERIFICATION
+	// synopsys translate_on
+	`endif
+	);
+	defparam
+		csa_upper1.lpm_direction = "ADD",
+		csa_upper1.lpm_representation = "SIGNED",
+		csa_upper1.lpm_width = 12,
+		csa_upper1.lpm_type = "lpm_add_sub";
+	assign
+		result = result_w,
+		result_w = {(({12{(~ wire_csa_lower_cout)}} & wire_csa_upper0_result) | ({12{wire_csa_lower_cout}} & wire_csa_upper1_result)), wire_csa_lower_result};
+endmodule //fpoint_hw_qsys_div_single_altfp_div_csa_mke
+
+
+//altfp_div_csa CARRY_SELECT="YES" CBX_AUTO_BLACKBOX="ON" CBX_SINGLE_OUTPUT_FILE="ON" DATAB_IS_CONSTANT="NO" LPM_DIRECTION="SUB" LPM_PIPELINE=1 LPM_WIDTH=28 aclr clken clock dataa datab result
+//VERSION_BEGIN 10.1 cbx_altbarrel_shift 2010:09:06:21:07:24:PN cbx_altfp_div 2010:09:06:21:07:24:PN cbx_altsyncram 2010:09:06:21:07:25:PN cbx_cycloneii 2010:09:06:21:07:25:PN cbx_lpm_abs 2010:09:06:21:07:25:PN cbx_lpm_add_sub 2010:09:06:21:07:25:PN cbx_lpm_compare 2010:09:06:21:07:25:PN cbx_lpm_decode 2010:09:06:21:07:25:PN cbx_lpm_divide 2010:09:06:21:07:25:PN cbx_lpm_mult 2010:09:06:21:07:25:PN cbx_lpm_mux 2010:09:06:21:07:25:PN cbx_mgl 2010:09:06:21:22:18:PN cbx_padd 2010:09:06:21:07:25:PN cbx_stratix 2010:09:06:21:07:25:PN cbx_stratixii 2010:09:06:21:07:25:PN cbx_stratixiii 2010:09:06:21:07:25:PN cbx_stratixv 2010:09:06:21:07:25:PN cbx_util_mgl 2010:09:06:21:07:25:PN  VERSION_END
+
+//synthesis_resources = lpm_add_sub 3 
+//synopsys translate_off
+`timescale 1 ps / 1 ps
+//synopsys translate_on
+module  fpoint_hw_qsys_div_single_altfp_div_csa_2jh
+	( 
+	aclr,
+	clken,
+	clock,
+	dataa,
+	datab,
+	result) /* synthesis synthesis_clearbox=1 */;
+	input   aclr;
+	input   clken;
+	input   clock;
+	input   [27:0]  dataa;
+	input   [27:0]  datab;
+	output   [27:0]  result;
+`ifndef ALTERA_RESERVED_QIS
+// synopsys translate_off
+`endif
+	tri0   aclr;
+	tri1   clken;
+	tri0   clock;
+	tri0   [27:0]  dataa;
+	tri0   [27:0]  datab;
+`ifndef ALTERA_RESERVED_QIS
+// synopsys translate_on
+`endif
+
+	wire  wire_csa_lower_cout;
+	wire  [13:0]   wire_csa_lower_result;
+	wire  [13:0]   wire_csa_upper0_result;
+	wire  [13:0]   wire_csa_upper1_result;
+	wire  [27:0]  result_w;
+
+	lpm_add_sub   csa_lower
+	( 
+	.aclr(aclr),
+	.clken(clken),
+	.clock(clock),
+	.cout(wire_csa_lower_cout),
+	.dataa(dataa[13:0]),
+	.datab(datab[13:0]),
+	.overflow(),
+	.result(wire_csa_lower_result)
+	`ifndef FORMAL_VERIFICATION
+	// synopsys translate_off
+	`endif
+	,
+	.add_sub(1'b1),
+	.cin()
+	`ifndef FORMAL_VERIFICATION
+	// synopsys translate_on
+	`endif
+	);
+	defparam
+		csa_lower.lpm_direction = "SUB",
+		csa_lower.lpm_pipeline = 1,
+		csa_lower.lpm_representation = "SIGNED",
+		csa_lower.lpm_width = 14,
+		csa_lower.lpm_type = "lpm_add_sub";
+	lpm_add_sub   csa_upper0
+	( 
+	.aclr(aclr),
+	.cin(1'b0),
+	.clken(clken),
+	.clock(clock),
+	.cout(),
+	.dataa(dataa[27:14]),
+	.datab(datab[27:14]),
+	.overflow(),
+	.result(wire_csa_upper0_result)
+	`ifndef FORMAL_VERIFICATION
+	// synopsys translate_off
+	`endif
+	,
+	.add_sub(1'b1)
+	`ifndef FORMAL_VERIFICATION
+	// synopsys translate_on
+	`endif
+	);
+	defparam
+		csa_upper0.lpm_direction = "SUB",
+		csa_upper0.lpm_pipeline = 1,
+		csa_upper0.lpm_representation = "SIGNED",
+		csa_upper0.lpm_width = 14,
+		csa_upper0.lpm_type = "lpm_add_sub";
+	lpm_add_sub   csa_upper1
+	( 
+	.aclr(aclr),
+	.cin(1'b1),
+	.clken(clken),
+	.clock(clock),
+	.cout(),
+	.dataa(dataa[27:14]),
+	.datab(datab[27:14]),
+	.overflow(),
+	.result(wire_csa_upper1_result)
+	`ifndef FORMAL_VERIFICATION
+	// synopsys translate_off
+	`endif
+	,
+	.add_sub(1'b1)
+	`ifndef FORMAL_VERIFICATION
+	// synopsys translate_on
+	`endif
+	);
+	defparam
+		csa_upper1.lpm_direction = "SUB",
+		csa_upper1.lpm_pipeline = 1,
+		csa_upper1.lpm_representation = "SIGNED",
+		csa_upper1.lpm_width = 14,
+		csa_upper1.lpm_type = "lpm_add_sub";
+	assign
+		result = result_w,
+		result_w = {(({14{(~ wire_csa_lower_cout)}} & wire_csa_upper0_result) | ({14{wire_csa_lower_cout}} & wire_csa_upper1_result)), wire_csa_lower_result};
+endmodule //fpoint_hw_qsys_div_single_altfp_div_csa_2jh
+
+
+//altfp_div_csa CARRY_SELECT="YES" CBX_AUTO_BLACKBOX="ON" CBX_SINGLE_OUTPUT_FILE="ON" DATAB_IS_CONSTANT="NO" LPM_DIRECTION="SUB" LPM_WIDTH=28 dataa datab result
+//VERSION_BEGIN 10.1 cbx_altbarrel_shift 2010:09:06:21:07:24:PN cbx_altfp_div 2010:09:06:21:07:24:PN cbx_altsyncram 2010:09:06:21:07:25:PN cbx_cycloneii 2010:09:06:21:07:25:PN cbx_lpm_abs 2010:09:06:21:07:25:PN cbx_lpm_add_sub 2010:09:06:21:07:25:PN cbx_lpm_compare 2010:09:06:21:07:25:PN cbx_lpm_decode 2010:09:06:21:07:25:PN cbx_lpm_divide 2010:09:06:21:07:25:PN cbx_lpm_mult 2010:09:06:21:07:25:PN cbx_lpm_mux 2010:09:06:21:07:25:PN cbx_mgl 2010:09:06:21:22:18:PN cbx_padd 2010:09:06:21:07:25:PN cbx_stratix 2010:09:06:21:07:25:PN cbx_stratixii 2010:09:06:21:07:25:PN cbx_stratixiii 2010:09:06:21:07:25:PN cbx_stratixv 2010:09:06:21:07:25:PN cbx_util_mgl 2010:09:06:21:07:25:PN  VERSION_END
+
+//synthesis_resources = lpm_add_sub 3 
+//synopsys translate_off
+`timescale 1 ps / 1 ps
+//synopsys translate_on
+module  fpoint_hw_qsys_div_single_altfp_div_csa_rle
+	( 
+	dataa,
+	datab,
+	result) /* synthesis synthesis_clearbox=1 */;
+	input   [27:0]  dataa;
+	input   [27:0]  datab;
+	output   [27:0]  result;
+`ifndef ALTERA_RESERVED_QIS
+// synopsys translate_off
+`endif
+	tri0   [27:0]  dataa;
+	tri0   [27:0]  datab;
+`ifndef ALTERA_RESERVED_QIS
+// synopsys translate_on
+`endif
+
+	wire  wire_csa_lower_cout;
+	wire  [13:0]   wire_csa_lower_result;
+	wire  [13:0]   wire_csa_upper0_result;
+	wire  [13:0]   wire_csa_upper1_result;
+	wire  [27:0]  result_w;
+
+	lpm_add_sub   csa_lower
+	( 
+	.cout(wire_csa_lower_cout),
+	.dataa(dataa[13:0]),
+	.datab(datab[13:0]),
+	.overflow(),
+	.result(wire_csa_lower_result)
+	`ifndef FORMAL_VERIFICATION
+	// synopsys translate_off
+	`endif
+	,
+	.aclr(1'b0),
+	.add_sub(1'b1),
+	.cin(),
+	.clken(1'b1),
+	.clock(1'b0)
+	`ifndef FORMAL_VERIFICATION
+	// synopsys translate_on
+	`endif
+	);
+	defparam
+		csa_lower.lpm_direction = "SUB",
+		csa_lower.lpm_representation = "SIGNED",
+		csa_lower.lpm_width = 14,
+		csa_lower.lpm_type = "lpm_add_sub";
+	lpm_add_sub   csa_upper0
+	( 
+	.cin(1'b0),
+	.cout(),
+	.dataa(dataa[27:14]),
+	.datab(datab[27:14]),
+	.overflow(),
+	.result(wire_csa_upper0_result)
+	`ifndef FORMAL_VERIFICATION
+	// synopsys translate_off
+	`endif
+	,
+	.aclr(1'b0),
+	.add_sub(1'b1),
+	.clken(1'b1),
+	.clock(1'b0)
+	`ifndef FORMAL_VERIFICATION
+	// synopsys translate_on
+	`endif
+	);
+	defparam
+		csa_upper0.lpm_direction = "SUB",
+		csa_upper0.lpm_representation = "SIGNED",
+		csa_upper0.lpm_width = 14,
+		csa_upper0.lpm_type = "lpm_add_sub";
+	lpm_add_sub   csa_upper1
+	( 
+	.cin(1'b1),
+	.cout(),
+	.dataa(dataa[27:14]),
+	.datab(datab[27:14]),
+	.overflow(),
+	.result(wire_csa_upper1_result)
+	`ifndef FORMAL_VERIFICATION
+	// synopsys translate_off
+	`endif
+	,
+	.aclr(1'b0),
+	.add_sub(1'b1),
+	.clken(1'b1),
+	.clock(1'b0)
+	`ifndef FORMAL_VERIFICATION
+	// synopsys translate_on
+	`endif
+	);
+	defparam
+		csa_upper1.lpm_direction = "SUB",
+		csa_upper1.lpm_representation = "SIGNED",
+		csa_upper1.lpm_width = 14,
+		csa_upper1.lpm_type = "lpm_add_sub";
+	assign
+		result = result_w,
+		result_w = {(({14{(~ wire_csa_lower_cout)}} & wire_csa_upper0_result) | ({14{wire_csa_lower_cout}} & wire_csa_upper1_result)), wire_csa_lower_result};
+endmodule //fpoint_hw_qsys_div_single_altfp_div_csa_rle
+
+
+//srt_block_int CBX_AUTO_BLACKBOX="ON" CBX_SINGLE_OUTPUT_FILE="ON" DEVICE_FAMILY="STRATIXIV" OPTIMIZE="SPEED" POSITION="FIRST" WIDTH_DIV=24 WIDTH_RK_IN=24 WIDTH_RK_OUT=25 WIDTH_ROM=3 WIDTH_ROM_ADD=12 aclr clken clock divider divider_reg Rk Rk_next rom
+//VERSION_BEGIN 10.1 cbx_altbarrel_shift 2010:09:06:21:07:24:PN cbx_altfp_div 2010:09:06:21:07:24:PN cbx_altsyncram 2010:09:06:21:07:25:PN cbx_cycloneii 2010:09:06:21:07:25:PN cbx_lpm_abs 2010:09:06:21:07:25:PN cbx_lpm_add_sub 2010:09:06:21:07:25:PN cbx_lpm_compare 2010:09:06:21:07:25:PN cbx_lpm_decode 2010:09:06:21:07:25:PN cbx_lpm_divide 2010:09:06:21:07:25:PN cbx_lpm_mult 2010:09:06:21:07:25:PN cbx_lpm_mux 2010:09:06:21:07:25:PN cbx_mgl 2010:09:06:21:22:18:PN cbx_padd 2010:09:06:21:07:25:PN cbx_stratix 2010:09:06:21:07:25:PN cbx_stratixii 2010:09:06:21:07:25:PN cbx_stratixiii 2010:09:06:21:07:25:PN cbx_stratixv 2010:09:06:21:07:25:PN cbx_util_mgl 2010:09:06:21:07:25:PN  VERSION_END
+
+
+//altfp_div_csa CARRY_SELECT="YES" CBX_AUTO_BLACKBOX="ON" CBX_SINGLE_OUTPUT_FILE="ON" DATAB_IS_CONSTANT="NO" LPM_DIRECTION="ADD" LPM_WIDTH=27 dataa datab result
+//VERSION_BEGIN 10.1 cbx_altbarrel_shift 2010:09:06:21:07:24:PN cbx_altfp_div 2010:09:06:21:07:24:PN cbx_altsyncram 2010:09:06:21:07:25:PN cbx_cycloneii 2010:09:06:21:07:25:PN cbx_lpm_abs 2010:09:06:21:07:25:PN cbx_lpm_add_sub 2010:09:06:21:07:25:PN cbx_lpm_compare 2010:09:06:21:07:25:PN cbx_lpm_decode 2010:09:06:21:07:25:PN cbx_lpm_divide 2010:09:06:21:07:25:PN cbx_lpm_mult 2010:09:06:21:07:25:PN cbx_lpm_mux 2010:09:06:21:07:25:PN cbx_mgl 2010:09:06:21:22:18:PN cbx_padd 2010:09:06:21:07:25:PN cbx_stratix 2010:09:06:21:07:25:PN cbx_stratixii 2010:09:06:21:07:25:PN cbx_stratixiii 2010:09:06:21:07:25:PN cbx_stratixv 2010:09:06:21:07:25:PN cbx_util_mgl 2010:09:06:21:07:25:PN  VERSION_END
+
+//synthesis_resources = lpm_add_sub 3 
+//synopsys translate_off
+`timescale 1 ps / 1 ps
+//synopsys translate_on
+module  fpoint_hw_qsys_div_single_altfp_div_csa_pke
+	( 
+	dataa,
+	datab,
+	result) /* synthesis synthesis_clearbox=1 */;
+	input   [26:0]  dataa;
+	input   [26:0]  datab;
+	output   [26:0]  result;
+`ifndef ALTERA_RESERVED_QIS
+// synopsys translate_off
+`endif
+	tri0   [26:0]  dataa;
+	tri0   [26:0]  datab;
+`ifndef ALTERA_RESERVED_QIS
+// synopsys translate_on
+`endif
+
+	wire  wire_csa_lower_cout;
+	wire  [13:0]   wire_csa_lower_result;
+	wire  [12:0]   wire_csa_upper0_result;
+	wire  [12:0]   wire_csa_upper1_result;
+	wire  [26:0]  result_w;
+
+	lpm_add_sub   csa_lower
+	( 
+	.cout(wire_csa_lower_cout),
+	.dataa(dataa[13:0]),
+	.datab(datab[13:0]),
+	.overflow(),
+	.result(wire_csa_lower_result)
+	`ifndef FORMAL_VERIFICATION
+	// synopsys translate_off
+	`endif
+	,
+	.aclr(1'b0),
+	.add_sub(1'b1),
+	.cin(),
+	.clken(1'b1),
+	.clock(1'b0)
+	`ifndef FORMAL_VERIFICATION
+	// synopsys translate_on
+	`endif
+	);
+	defparam
+		csa_lower.lpm_direction = "ADD",
+		csa_lower.lpm_representation = "SIGNED",
+		csa_lower.lpm_width = 14,
+		csa_lower.lpm_type = "lpm_add_sub";
+	lpm_add_sub   csa_upper0
+	( 
+	.cin(1'b0),
+	.cout(),
+	.dataa(dataa[26:14]),
+	.datab(datab[26:14]),
+	.overflow(),
+	.result(wire_csa_upper0_result)
+	`ifndef FORMAL_VERIFICATION
+	// synopsys translate_off
+	`endif
+	,
+	.aclr(1'b0),
+	.add_sub(1'b1),
+	.clken(1'b1),
+	.clock(1'b0)
+	`ifndef FORMAL_VERIFICATION
+	// synopsys translate_on
+	`endif
+	);
+	defparam
+		csa_upper0.lpm_direction = "ADD",
+		csa_upper0.lpm_representation = "SIGNED",
+		csa_upper0.lpm_width = 13,
+		csa_upper0.lpm_type = "lpm_add_sub";
+	lpm_add_sub   csa_upper1
+	( 
+	.cin(1'b1),
+	.cout(),
+	.dataa(dataa[26:14]),
+	.datab(datab[26:14]),
+	.overflow(),
+	.result(wire_csa_upper1_result)
+	`ifndef FORMAL_VERIFICATION
+	// synopsys translate_off
+	`endif
+	,
+	.aclr(1'b0),
+	.add_sub(1'b1),
+	.clken(1'b1),
+	.clock(1'b0)
+	`ifndef FORMAL_VERIFICATION
+	// synopsys translate_on
+	`endif
+	);
+	defparam
+		csa_upper1.lpm_direction = "ADD",
+		csa_upper1.lpm_representation = "SIGNED",
+		csa_upper1.lpm_width = 13,
+		csa_upper1.lpm_type = "lpm_add_sub";
+	assign
+		result = result_w,
+		result_w = {(({13{(~ wire_csa_lower_cout)}} & wire_csa_upper0_result) | ({13{wire_csa_lower_cout}} & wire_csa_upper1_result)), wire_csa_lower_result};
+endmodule //fpoint_hw_qsys_div_single_altfp_div_csa_pke
+
+
+//altfp_div_csa CARRY_SELECT="YES" CBX_AUTO_BLACKBOX="ON" CBX_SINGLE_OUTPUT_FILE="ON" DATAB_IS_CONSTANT="NO" LPM_DIRECTION="SUB" LPM_WIDTH=27 dataa datab result
+//VERSION_BEGIN 10.1 cbx_altbarrel_shift 2010:09:06:21:07:24:PN cbx_altfp_div 2010:09:06:21:07:24:PN cbx_altsyncram 2010:09:06:21:07:25:PN cbx_cycloneii 2010:09:06:21:07:25:PN cbx_lpm_abs 2010:09:06:21:07:25:PN cbx_lpm_add_sub 2010:09:06:21:07:25:PN cbx_lpm_compare 2010:09:06:21:07:25:PN cbx_lpm_decode 2010:09:06:21:07:25:PN cbx_lpm_divide 2010:09:06:21:07:25:PN cbx_lpm_mult 2010:09:06:21:07:25:PN cbx_lpm_mux 2010:09:06:21:07:25:PN cbx_mgl 2010:09:06:21:22:18:PN cbx_padd 2010:09:06:21:07:25:PN cbx_stratix 2010:09:06:21:07:25:PN cbx_stratixii 2010:09:06:21:07:25:PN cbx_stratixiii 2010:09:06:21:07:25:PN cbx_stratixv 2010:09:06:21:07:25:PN cbx_util_mgl 2010:09:06:21:07:25:PN  VERSION_END
+
+//synthesis_resources = lpm_add_sub 3 
+//synopsys translate_off
+`timescale 1 ps / 1 ps
+//synopsys translate_on
+module  fpoint_hw_qsys_div_single_altfp_div_csa_qle
+	( 
+	dataa,
+	datab,
+	result) /* synthesis synthesis_clearbox=1 */;
+	input   [26:0]  dataa;
+	input   [26:0]  datab;
+	output   [26:0]  result;
+`ifndef ALTERA_RESERVED_QIS
+// synopsys translate_off
+`endif
+	tri0   [26:0]  dataa;
+	tri0   [26:0]  datab;
+`ifndef ALTERA_RESERVED_QIS
+// synopsys translate_on
+`endif
+
+	wire  wire_csa_lower_cout;
+	wire  [13:0]   wire_csa_lower_result;
+	wire  [12:0]   wire_csa_upper0_result;
+	wire  [12:0]   wire_csa_upper1_result;
+	wire  [26:0]  result_w;
+
+	lpm_add_sub   csa_lower
+	( 
+	.cout(wire_csa_lower_cout),
+	.dataa(dataa[13:0]),
+	.datab(datab[13:0]),
+	.overflow(),
+	.result(wire_csa_lower_result)
+	`ifndef FORMAL_VERIFICATION
+	// synopsys translate_off
+	`endif
+	,
+	.aclr(1'b0),
+	.add_sub(1'b1),
+	.cin(),
+	.clken(1'b1),
+	.clock(1'b0)
+	`ifndef FORMAL_VERIFICATION
+	// synopsys translate_on
+	`endif
+	);
+	defparam
+		csa_lower.lpm_direction = "SUB",
+		csa_lower.lpm_representation = "SIGNED",
+		csa_lower.lpm_width = 14,
+		csa_lower.lpm_type = "lpm_add_sub";
+	lpm_add_sub   csa_upper0
+	( 
+	.cin(1'b0),
+	.cout(),
+	.dataa(dataa[26:14]),
+	.datab(datab[26:14]),
+	.overflow(),
+	.result(wire_csa_upper0_result)
+	`ifndef FORMAL_VERIFICATION
+	// synopsys translate_off
+	`endif
+	,
+	.aclr(1'b0),
+	.add_sub(1'b1),
+	.clken(1'b1),
+	.clock(1'b0)
+	`ifndef FORMAL_VERIFICATION
+	// synopsys translate_on
+	`endif
+	);
+	defparam
+		csa_upper0.lpm_direction = "SUB",
+		csa_upper0.lpm_representation = "SIGNED",
+		csa_upper0.lpm_width = 13,
+		csa_upper0.lpm_type = "lpm_add_sub";
+	lpm_add_sub   csa_upper1
+	( 
+	.cin(1'b1),
+	.cout(),
+	.dataa(dataa[26:14]),
+	.datab(datab[26:14]),
+	.overflow(),
+	.result(wire_csa_upper1_result)
+	`ifndef FORMAL_VERIFICATION
+	// synopsys translate_off
+	`endif
+	,
+	.aclr(1'b0),
+	.add_sub(1'b1),
+	.clken(1'b1),
+	.clock(1'b0)
+	`ifndef FORMAL_VERIFICATION
+	// synopsys translate_on
+	`endif
+	);
+	defparam
+		csa_upper1.lpm_direction = "SUB",
+		csa_upper1.lpm_representation = "SIGNED",
+		csa_upper1.lpm_width = 13,
+		csa_upper1.lpm_type = "lpm_add_sub";
+	assign
+		result = result_w,
+		result_w = {(({13{(~ wire_csa_lower_cout)}} & wire_csa_upper0_result) | ({13{wire_csa_lower_cout}} & wire_csa_upper1_result)), wire_csa_lower_result};
+endmodule //fpoint_hw_qsys_div_single_altfp_div_csa_qle
+
+
+//qds_block CBX_AUTO_BLACKBOX="ON" CBX_SINGLE_OUTPUT_FILE="ON" FIRST_QDS="YES" aclr clken clock decoder_bus decoder_output
+//VERSION_BEGIN 10.1 cbx_altbarrel_shift 2010:09:06:21:07:24:PN cbx_altfp_div 2010:09:06:21:07:24:PN cbx_altsyncram 2010:09:06:21:07:25:PN cbx_cycloneii 2010:09:06:21:07:25:PN cbx_lpm_abs 2010:09:06:21:07:25:PN cbx_lpm_add_sub 2010:09:06:21:07:25:PN cbx_lpm_compare 2010:09:06:21:07:25:PN cbx_lpm_decode 2010:09:06:21:07:25:PN cbx_lpm_divide 2010:09:06:21:07:25:PN cbx_lpm_mult 2010:09:06:21:07:25:PN cbx_lpm_mux 2010:09:06:21:07:25:PN cbx_mgl 2010:09:06:21:22:18:PN cbx_padd 2010:09:06:21:07:25:PN cbx_stratix 2010:09:06:21:07:25:PN cbx_stratixii 2010:09:06:21:07:25:PN cbx_stratixiii 2010:09:06:21:07:25:PN cbx_stratixv 2010:09:06:21:07:25:PN cbx_util_mgl 2010:09:06:21:07:25:PN  VERSION_END
+
+//synthesis_resources = lpm_compare 4 lpm_mux 1 reg 2 
+//synopsys translate_off
+`timescale 1 ps / 1 ps
+//synopsys translate_on
+module  fpoint_hw_qsys_div_single_qds_block_mab
+	( 
+	aclr,
+	clken,
+	clock,
+	decoder_bus,
+	decoder_output) /* synthesis synthesis_clearbox=1 */;
+	input   aclr;
+	input   clken;
+	input   clock;
+	input   [11:0]  decoder_bus;
+	output   [2:0]  decoder_output;
+`ifndef ALTERA_RESERVED_QIS
+// synopsys translate_off
+`endif
+	tri0   aclr;
+	tri1   clken;
+	tri0   clock;
+`ifndef ALTERA_RESERVED_QIS
+// synopsys translate_on
+`endif
+
+	reg	[1:0]	q_next_dffe;
+	wire  wire_cmpr35_aleb;
+	wire  wire_cmpr36_aleb;
+	wire  wire_cmpr37_aleb;
+	wire  wire_cmpr38_aleb;
+	wire  [31:0]   wire_mux34_result;
+	wire  [2:0]  decoder_output_w;
+	wire  [3:0]  Div_w;
+	wire  [3:0]  k_comp_w;
+	wire  [511:0]  mk_bus_const_w;
+	wire  [31:0]  mk_bus_w;
+	wire  [8:0]  mk_neg1_w;
+	wire  [8:0]  mk_pos0_w;
+	wire  [8:0]  mk_pos1_w;
+	wire  [8:0]  mk_pos2_w;
+	wire  [2:0]  q_next_w;
+	wire  [8:0]  Rk_in_w;
+	wire  [8:0]  Rk_w;
+
+	// synopsys translate_off
+	initial
+		q_next_dffe = 0;
+	// synopsys translate_on
+	always @ ( posedge clock or  posedge aclr)
+		if (aclr == 1'b1) q_next_dffe <= 2'b0;
+		else if  (clken == 1'b1)   q_next_dffe <= q_next_w[1:0];
+	lpm_compare   cmpr35
+	( 
+	.aeb(),
+	.agb(),
+	.ageb(),
+	.alb(),
+	.aleb(wire_cmpr35_aleb),
+	.aneb(),
+	.dataa(Rk_w),
+	.datab(mk_neg1_w)
+	`ifndef FORMAL_VERIFICATION
+	// synopsys translate_off
+	`endif
+	,
+	.aclr(1'b0),
+	.clken(1'b1),
+	.clock(1'b0)
+	`ifndef FORMAL_VERIFICATION
+	// synopsys translate_on
+	`endif
+	);
+	defparam
+		cmpr35.lpm_representation = "SIGNED",
+		cmpr35.lpm_width = 9,
+		cmpr35.lpm_type = "lpm_compare";
+	lpm_compare   cmpr36
+	( 
+	.aeb(),
+	.agb(),
+	.ageb(),
+	.alb(),
+	.aleb(wire_cmpr36_aleb),
+	.aneb(),
+	.dataa(Rk_w),
+	.datab(mk_pos0_w)
+	`ifndef FORMAL_VERIFICATION
+	// synopsys translate_off
+	`endif
+	,
+	.aclr(1'b0),
+	.clken(1'b1),
+	.clock(1'b0)
+	`ifndef FORMAL_VERIFICATION
+	// synopsys translate_on
+	`endif
+	);
+	defparam
+		cmpr36.lpm_representation = "SIGNED",
+		cmpr36.lpm_width = 9,
+		cmpr36.lpm_type = "lpm_compare";
+	lpm_compare   cmpr37
+	( 
+	.aeb(),
+	.agb(),
+	.ageb(),
+	.alb(),
+	.aleb(wire_cmpr37_aleb),
+	.aneb(),
+	.dataa(Rk_w),
+	.datab(mk_pos1_w)
+	`ifndef FORMAL_VERIFICATION
+	// synopsys translate_off
+	`endif
+	,
+	.aclr(1'b0),
+	.clken(1'b1),
+	.clock(1'b0)
+	`ifndef FORMAL_VERIFICATION
+	// synopsys translate_on
+	`endif
+	);
+	defparam
+		cmpr37.lpm_representation = "SIGNED",
+		cmpr37.lpm_width = 9,
+		cmpr37.lpm_type = "lpm_compare";
+	lpm_compare   cmpr38
+	( 
+	.aeb(),
+	.agb(),
+	.ageb(),
+	.alb(),
+	.aleb(wire_cmpr38_aleb),
+	.aneb(),
+	.dataa(Rk_w),
+	.datab(mk_pos2_w)
+	`ifndef FORMAL_VERIFICATION
+	// synopsys translate_off
+	`endif
+	,
+	.aclr(1'b0),
+	.clken(1'b1),
+	.clock(1'b0)
+	`ifndef FORMAL_VERIFICATION
+	// synopsys translate_on
+	`endif
+	);
+	defparam
+		cmpr38.lpm_representation = "SIGNED",
+		cmpr38.lpm_width = 9,
+		cmpr38.lpm_type = "lpm_compare";
+	lpm_mux   mux34
+	( 
+	.data(mk_bus_const_w),
+	.result(wire_mux34_result),
+	.sel(Div_w)
+	`ifndef FORMAL_VERIFICATION
+	// synopsys translate_off
+	`endif
+	,
+	.aclr(1'b0),
+	.clken(1'b1),
+	.clock(1'b0)
+	`ifndef FORMAL_VERIFICATION
+	// synopsys translate_on
+	`endif
+	);
+	defparam
+		mux34.lpm_size = 16,
+		mux34.lpm_width = 32,
+		mux34.lpm_widths = 4,
+		mux34.lpm_type = "lpm_mux";
+	assign
+		decoder_output = decoder_output_w,
+		decoder_output_w = {1'b0, q_next_dffe},
+		Div_w = decoder_bus[3:0],
+		k_comp_w = {wire_cmpr38_aleb, wire_cmpr37_aleb, wire_cmpr36_aleb, wire_cmpr35_aleb},
+		mk_bus_const_w = {32'b01011101000111111110000110100011, 32'b01011010000111101110001010100110, 32'b01010111000111011110001110101001, 32'b01010100000111001110010010101100, 32'b01010001000110111110010110101111, 32'b01001110000110101110011010110010, 32'b01001011000110011110011110110101, 32'b01001000000110001110100010111000, 32'b01000101000101111110100110111011, 32'b01000010000101101110101010111110, 32'b00111111000101011110101111000001, 32'b00111100000101001110110011000100, 32'b00111001000100111110110111000111, 32'b00110110000100101110111011001010, 32'b00110011000100011110111111001101, 32'b00110000000100001111000011010000},
+		mk_bus_w = wire_mux34_result,
+		mk_neg1_w = {mk_bus_w[7], mk_bus_w[7:0]},
+		mk_pos0_w = {mk_bus_w[15], mk_bus_w[15:8]},
+		mk_pos1_w = {mk_bus_w[23], mk_bus_w[23:16]},
+		mk_pos2_w = {mk_bus_w[31], mk_bus_w[31:24]},
+		q_next_w = {k_comp_w[1], ((~ k_comp_w[3]) | k_comp_w[0]), ((k_comp_w[3] & (~ k_comp_w[2])) | (k_comp_w[1] & (~ k_comp_w[0])))},
+		Rk_in_w = {decoder_bus[11:4], 1'b0},
+		Rk_w = Rk_in_w;
+endmodule //fpoint_hw_qsys_div_single_qds_block_mab
+
+//synthesis_resources = lpm_add_sub 12 lpm_compare 4 lpm_mux 2 reg 197 
+//synopsys translate_off
+`timescale 1 ps / 1 ps
+//synopsys translate_on
+module  fpoint_hw_qsys_div_single_srt_block_int_02n
+	( 
+	aclr,
+	clken,
+	clock,
+	divider,
+	divider_reg,
+	Rk,
+	Rk_next,
+	rom) /* synthesis synthesis_clearbox=1 */;
+	input   aclr;
+	input   clken;
+	input   clock;
+	input   [23:0]  divider;
+	output   [23:0]  divider_reg;
+	input   [23:0]  Rk;
+	output   [24:0]  Rk_next;
+	output   [2:0]  rom;
+`ifndef ALTERA_RESERVED_QIS
+// synopsys translate_off
+`endif
+	tri0   aclr;
+	tri1   clken;
+	tri0   clock;
+`ifndef ALTERA_RESERVED_QIS
+// synopsys translate_on
+`endif
+
+	wire  [26:0]   wire_altfp_div_csa29_result;
+	wire  [26:0]   wire_altfp_div_csa30_result;
+	wire  [26:0]   wire_altfp_div_csa31_result;
+	wire  [26:0]   wire_altfp_div_csa32_result;
+	reg	[22:0]	divider_dffe;
+	reg	[22:0]	divider_dffe_1a;
+	reg	[24:0]	neg_qk1d_dffe;
+	reg	[24:0]	neg_qk2d_dffe;
+	reg	[24:0]	pos_qk1d_dffe;
+	reg	[24:0]	pos_qk2d_dffe;
+	reg	[20:0]	Rk_adder_padded_dffe;
+	reg	[24:0]	Rk_next_dffe;
+	reg	[2:0]	rom_out_dffe;
+	wire  [24:0]   wire_mux33_result;
+	wire  [2:0]   wire_qds_block28_decoder_output;
+	wire  [26:0]  divider_1D_w;
+	wire  [26:0]  divider_2D_w;
+	wire  [22:0]  divider_dffe_1a_w;
+	wire  [23:0]  divider_dffe_w;
+	wire  [23:0]  divider_in_w;
+	wire  [24:0]  neg_qk1d_int_w;
+	wire  [24:0]  neg_qk2d_int_w;
+	wire  [1:0]  padded_2_zeros_w;
+	wire  [2:0]  padded_3_zeros_w;
+	wire  [24:0]  pos_qk0d_int_w;
+	wire  [24:0]  pos_qk1d_int_w;
+	wire  [24:0]  pos_qk2d_int_w;
+	wire  [199:0]  qkd_mux_input_w;
+	wire  [24:0]  qkd_mux_w;
+	wire  [26:0]  Rk_adder_padded_w;
+	wire  [23:0]  Rk_dffe_1a_w;
+	wire  [23:0]  Rk_in_w;
+	wire  [24:0]  Rk_next_dffe_w;
+	wire  [11:0]  rom_add_w;
+	wire  [2:0]  rom_mux_w;
+	wire  [2:0]  rom_out_1a_w;
+	wire  [2:0]  rom_out_dffe_w;
+
+	fpoint_hw_qsys_div_single_altfp_div_csa_pke   altfp_div_csa29
+	( 
+	.dataa(Rk_adder_padded_w),
+	.datab(divider_1D_w),
+	.result(wire_altfp_div_csa29_result));
+	fpoint_hw_qsys_div_single_altfp_div_csa_pke   altfp_div_csa30
+	( 
+	.dataa(Rk_adder_padded_w),
+	.datab(divider_2D_w),
+	.result(wire_altfp_div_csa30_result));
+	fpoint_hw_qsys_div_single_altfp_div_csa_qle   altfp_div_csa31
+	( 
+	.dataa(Rk_adder_padded_w),
+	.datab(divider_1D_w),
+	.result(wire_altfp_div_csa31_result));
+	fpoint_hw_qsys_div_single_altfp_div_csa_qle   altfp_div_csa32
+	( 
+	.dataa(Rk_adder_padded_w),
+	.datab(divider_2D_w),
+	.result(wire_altfp_div_csa32_result));
+	// synopsys translate_off
+	initial
+		divider_dffe = 0;
+	// synopsys translate_on
+	always @ ( posedge clock or  posedge aclr)
+		if (aclr == 1'b1) divider_dffe <= 23'b0;
+		else if  (clken == 1'b1)   divider_dffe <= divider_dffe_1a_w;
+	// synopsys translate_off
+	initial
+		divider_dffe_1a = 0;
+	// synopsys translate_on
+	always @ ( posedge clock or  posedge aclr)
+		if (aclr == 1'b1) divider_dffe_1a <= 23'b0;
+		else if  (clken == 1'b1)   divider_dffe_1a <= divider_in_w[22:0];
+	// synopsys translate_off
+	initial
+		neg_qk1d_dffe = 0;
+	// synopsys translate_on
+	always @ ( posedge clock or  posedge aclr)
+		if (aclr == 1'b1) neg_qk1d_dffe <= 25'b0;
+		else if  (clken == 1'b1)   neg_qk1d_dffe <= wire_altfp_div_csa31_result[24:0];
+	// synopsys translate_off
+	initial
+		neg_qk2d_dffe = 0;
+	// synopsys translate_on
+	always @ ( posedge clock or  posedge aclr)
+		if (aclr == 1'b1) neg_qk2d_dffe <= 25'b0;
+		else if  (clken == 1'b1)   neg_qk2d_dffe <= wire_altfp_div_csa32_result[24:0];
+	// synopsys translate_off
+	initial
+		pos_qk1d_dffe = 0;
+	// synopsys translate_on
+	always @ ( posedge clock or  posedge aclr)
+		if (aclr == 1'b1) pos_qk1d_dffe <= 25'b0;
+		else if  (clken == 1'b1)   pos_qk1d_dffe <= wire_altfp_div_csa29_result[24:0];
+	// synopsys translate_off
+	initial
+		pos_qk2d_dffe = 0;
+	// synopsys translate_on
+	always @ ( posedge clock or  posedge aclr)
+		if (aclr == 1'b1) pos_qk2d_dffe <= 25'b0;
+		else if  (clken == 1'b1)   pos_qk2d_dffe <= wire_altfp_div_csa30_result[24:0];
+	// synopsys translate_off
+	initial
+		Rk_adder_padded_dffe = 0;
+	// synopsys translate_on
+	always @ ( posedge clock or  posedge aclr)
+		if (aclr == 1'b1) Rk_adder_padded_dffe <= 21'b0;
+		else if  (clken == 1'b1)   Rk_adder_padded_dffe <= Rk_adder_padded_w[20:0];
+	// synopsys translate_off
+	initial
+		Rk_next_dffe = 0;
+	// synopsys translate_on
+	always @ ( posedge clock or  posedge aclr)
+		if (aclr == 1'b1) Rk_next_dffe <= 25'b0;
+		else if  (clken == 1'b1)   Rk_next_dffe <= qkd_mux_w;
+	// synopsys translate_off
+	initial
+		rom_out_dffe = 0;
+	// synopsys translate_on
+	always @ ( posedge clock or  posedge aclr)
+		if (aclr == 1'b1) rom_out_dffe <= 3'b0;
+		else if  (clken == 1'b1)   rom_out_dffe <= rom_out_1a_w;
+	lpm_mux   mux33
+	( 
+	.data(qkd_mux_input_w),
+	.result(wire_mux33_result),
+	.sel(rom_mux_w)
+	`ifndef FORMAL_VERIFICATION
+	// synopsys translate_off
+	`endif
+	,
+	.aclr(1'b0),
+	.clken(1'b1),
+	.clock(1'b0)
+	`ifndef FORMAL_VERIFICATION
+	// synopsys translate_on
+	`endif
+	);
+	defparam
+		mux33.lpm_size = 8,
+		mux33.lpm_width = 25,
+		mux33.lpm_widths = 3,
+		mux33.lpm_type = "lpm_mux";
+	fpoint_hw_qsys_div_single_qds_block_mab   qds_block28
+	( 
+	.aclr(aclr),
+	.clken(clken),
+	.clock(clock),
+	.decoder_bus(rom_add_w),
+	.decoder_output(wire_qds_block28_decoder_output));
+	assign
+		divider_1D_w = {padded_3_zeros_w, divider_in_w},
+		divider_2D_w = {padded_2_zeros_w, divider_in_w, 1'b0},
+		divider_dffe_1a_w = divider_dffe_1a,
+		divider_dffe_w = {1'b1, divider_dffe},
+		divider_in_w = divider,
+		divider_reg = divider_dffe_w,
+		neg_qk1d_int_w = neg_qk1d_dffe,
+		neg_qk2d_int_w = neg_qk2d_dffe,
+		padded_2_zeros_w = {2{1'b0}},
+		padded_3_zeros_w = {3{1'b0}},
+		pos_qk0d_int_w = {padded_3_zeros_w, 1'b1, Rk_adder_padded_dffe[20:0]},
+		pos_qk1d_int_w = pos_qk1d_dffe,
+		pos_qk2d_int_w = pos_qk2d_dffe,
+		qkd_mux_input_w = {{2{pos_qk2d_int_w}}, pos_qk1d_int_w, pos_qk0d_int_w, {2{neg_qk2d_int_w}}, neg_qk1d_int_w, pos_qk0d_int_w},
+		qkd_mux_w = wire_mux33_result[24:0],
+		Rk_adder_padded_w = {padded_3_zeros_w, Rk_dffe_1a_w},
+		Rk_dffe_1a_w = Rk_in_w,
+		Rk_in_w = Rk,
+		Rk_next = Rk_next_dffe_w,
+		Rk_next_dffe_w = Rk_next_dffe,
+		rom = rom_out_dffe_w,
+		rom_add_w = {padded_3_zeros_w, Rk_in_w[23:19], divider_in_w[22:19]},
+		rom_mux_w = rom_out_1a_w,
+		rom_out_1a_w = wire_qds_block28_decoder_output,
+		rom_out_dffe_w = rom_out_dffe;
+endmodule //fpoint_hw_qsys_div_single_srt_block_int_02n
+
+
+//srt_block_int CBX_AUTO_BLACKBOX="ON" CBX_SINGLE_OUTPUT_FILE="ON" DEVICE_FAMILY="STRATIXIV" OPTIMIZE="SPEED" POSITION="MIDDLE" WIDTH_DIV=24 WIDTH_RK_IN=25 WIDTH_RK_OUT=25 WIDTH_ROM=3 WIDTH_ROM_ADD=12 aclr clken clock divider divider_reg Rk Rk_next rom
+//VERSION_BEGIN 10.1 cbx_altbarrel_shift 2010:09:06:21:07:24:PN cbx_altfp_div 2010:09:06:21:07:24:PN cbx_altsyncram 2010:09:06:21:07:25:PN cbx_cycloneii 2010:09:06:21:07:25:PN cbx_lpm_abs 2010:09:06:21:07:25:PN cbx_lpm_add_sub 2010:09:06:21:07:25:PN cbx_lpm_compare 2010:09:06:21:07:25:PN cbx_lpm_decode 2010:09:06:21:07:25:PN cbx_lpm_divide 2010:09:06:21:07:25:PN cbx_lpm_mult 2010:09:06:21:07:25:PN cbx_lpm_mux 2010:09:06:21:07:25:PN cbx_mgl 2010:09:06:21:22:18:PN cbx_padd 2010:09:06:21:07:25:PN cbx_stratix 2010:09:06:21:07:25:PN cbx_stratixii 2010:09:06:21:07:25:PN cbx_stratixiii 2010:09:06:21:07:25:PN cbx_stratixv 2010:09:06:21:07:25:PN cbx_util_mgl 2010:09:06:21:07:25:PN  VERSION_END
+
+
+//qds_block CBX_AUTO_BLACKBOX="ON" CBX_SINGLE_OUTPUT_FILE="ON" aclr clken clock decoder_bus decoder_output
+//VERSION_BEGIN 10.1 cbx_altbarrel_shift 2010:09:06:21:07:24:PN cbx_altfp_div 2010:09:06:21:07:24:PN cbx_altsyncram 2010:09:06:21:07:25:PN cbx_cycloneii 2010:09:06:21:07:25:PN cbx_lpm_abs 2010:09:06:21:07:25:PN cbx_lpm_add_sub 2010:09:06:21:07:25:PN cbx_lpm_compare 2010:09:06:21:07:25:PN cbx_lpm_decode 2010:09:06:21:07:25:PN cbx_lpm_divide 2010:09:06:21:07:25:PN cbx_lpm_mult 2010:09:06:21:07:25:PN cbx_lpm_mux 2010:09:06:21:07:25:PN cbx_mgl 2010:09:06:21:22:18:PN cbx_padd 2010:09:06:21:07:25:PN cbx_stratix 2010:09:06:21:07:25:PN cbx_stratixii 2010:09:06:21:07:25:PN cbx_stratixiii 2010:09:06:21:07:25:PN cbx_stratixv 2010:09:06:21:07:25:PN cbx_util_mgl 2010:09:06:21:07:25:PN  VERSION_END
+
+//synthesis_resources = lpm_compare 4 lpm_mux 1 reg 3 
+//synopsys translate_off
+`timescale 1 ps / 1 ps
+//synopsys translate_on
+module  fpoint_hw_qsys_div_single_qds_block_ls9
+	( 
+	aclr,
+	clken,
+	clock,
+	decoder_bus,
+	decoder_output) /* synthesis synthesis_clearbox=1 */;
+	input   aclr;
+	input   clken;
+	input   clock;
+	input   [11:0]  decoder_bus;
+	output   [2:0]  decoder_output;
+`ifndef ALTERA_RESERVED_QIS
+// synopsys translate_off
+`endif
+	tri0   aclr;
+	tri1   clken;
+	tri0   clock;
+`ifndef ALTERA_RESERVED_QIS
+// synopsys translate_on
+`endif
+
+	reg	[2:0]	q_next_dffe;
+	wire  wire_cmpr46_aleb;
+	wire  wire_cmpr47_aleb;
+	wire  wire_cmpr48_aleb;
+	wire  wire_cmpr49_aleb;
+	wire  [31:0]   wire_mux45_result;
+	wire  [2:0]  decoder_output_w;
+	wire  [3:0]  Div_w;
+	wire  [3:0]  k_comp_w;
+	wire  [511:0]  mk_bus_const_w;
+	wire  [31:0]  mk_bus_w;
+	wire  [8:0]  mk_neg1_w;
+	wire  [8:0]  mk_pos0_w;
+	wire  [8:0]  mk_pos1_w;
+	wire  [8:0]  mk_pos2_w;
+	wire  [2:0]  q_next_w;
+	wire  [8:0]  Rk_in_w;
+	wire  [8:0]  Rk_w;
+
+	// synopsys translate_off
+	initial
+		q_next_dffe = 0;
+	// synopsys translate_on
+	always @ ( posedge clock or  posedge aclr)
+		if (aclr == 1'b1) q_next_dffe <= 3'b0;
+		else if  (clken == 1'b1)   q_next_dffe <= q_next_w;
+	lpm_compare   cmpr46
+	( 
+	.aeb(),
+	.agb(),
+	.ageb(),
+	.alb(),
+	.aleb(wire_cmpr46_aleb),
+	.aneb(),
+	.dataa(Rk_w),
+	.datab(mk_neg1_w)
+	`ifndef FORMAL_VERIFICATION
+	// synopsys translate_off
+	`endif
+	,
+	.aclr(1'b0),
+	.clken(1'b1),
+	.clock(1'b0)
+	`ifndef FORMAL_VERIFICATION
+	// synopsys translate_on
+	`endif
+	);
+	defparam
+		cmpr46.lpm_representation = "SIGNED",
+		cmpr46.lpm_width = 9,
+		cmpr46.lpm_type = "lpm_compare";
+	lpm_compare   cmpr47
+	( 
+	.aeb(),
+	.agb(),
+	.ageb(),
+	.alb(),
+	.aleb(wire_cmpr47_aleb),
+	.aneb(),
+	.dataa(Rk_w),
+	.datab(mk_pos0_w)
+	`ifndef FORMAL_VERIFICATION
+	// synopsys translate_off
+	`endif
+	,
+	.aclr(1'b0),
+	.clken(1'b1),
+	.clock(1'b0)
+	`ifndef FORMAL_VERIFICATION
+	// synopsys translate_on
+	`endif
+	);
+	defparam
+		cmpr47.lpm_representation = "SIGNED",
+		cmpr47.lpm_width = 9,
+		cmpr47.lpm_type = "lpm_compare";
+	lpm_compare   cmpr48
+	( 
+	.aeb(),
+	.agb(),
+	.ageb(),
+	.alb(),
+	.aleb(wire_cmpr48_aleb),
+	.aneb(),
+	.dataa(Rk_w),
+	.datab(mk_pos1_w)
+	`ifndef FORMAL_VERIFICATION
+	// synopsys translate_off
+	`endif
+	,
+	.aclr(1'b0),
+	.clken(1'b1),
+	.clock(1'b0)
+	`ifndef FORMAL_VERIFICATION
+	// synopsys translate_on
+	`endif
+	);
+	defparam
+		cmpr48.lpm_representation = "SIGNED",
+		cmpr48.lpm_width = 9,
+		cmpr48.lpm_type = "lpm_compare";
+	lpm_compare   cmpr49
+	( 
+	.aeb(),
+	.agb(),
+	.ageb(),
+	.alb(),
+	.aleb(wire_cmpr49_aleb),
+	.aneb(),
+	.dataa(Rk_w),
+	.datab(mk_pos2_w)
+	`ifndef FORMAL_VERIFICATION
+	// synopsys translate_off
+	`endif
+	,
+	.aclr(1'b0),
+	.clken(1'b1),
+	.clock(1'b0)
+	`ifndef FORMAL_VERIFICATION
+	// synopsys translate_on
+	`endif
+	);
+	defparam
+		cmpr49.lpm_representation = "SIGNED",
+		cmpr49.lpm_width = 9,
+		cmpr49.lpm_type = "lpm_compare";
+	lpm_mux   mux45
+	( 
+	.data(mk_bus_const_w),
+	.result(wire_mux45_result),
+	.sel(Div_w)
+	`ifndef FORMAL_VERIFICATION
+	// synopsys translate_off
+	`endif
+	,
+	.aclr(1'b0),
+	.clken(1'b1),
+	.clock(1'b0)
+	`ifndef FORMAL_VERIFICATION
+	// synopsys translate_on
+	`endif
+	);
+	defparam
+		mux45.lpm_size = 16,
+		mux45.lpm_width = 32,
+		mux45.lpm_widths = 4,
+		mux45.lpm_type = "lpm_mux";
+	assign
+		decoder_output = decoder_output_w,
+		decoder_output_w = q_next_dffe,
+		Div_w = decoder_bus[3:0],
+		k_comp_w = {wire_cmpr49_aleb, wire_cmpr48_aleb, wire_cmpr47_aleb, wire_cmpr46_aleb},
+		mk_bus_const_w = {32'b01011101000111111110000110100011, 32'b01011010000111101110001010100110, 32'b01010111000111011110001110101001, 32'b01010100000111001110010010101100, 32'b01010001000110111110010110101111, 32'b01001110000110101110011010110010, 32'b01001011000110011110011110110101, 32'b01001000000110001110100010111000, 32'b01000101000101111110100110111011, 32'b01000010000101101110101010111110, 32'b00111111000101011110101111000001, 32'b00111100000101001110110011000100, 32'b00111001000100111110110111000111, 32'b00110110000100101110111011001010, 32'b00110011000100011110111111001101, 32'b00110000000100001111000011010000},
+		mk_bus_w = wire_mux45_result,
+		mk_neg1_w = {mk_bus_w[7], mk_bus_w[7:0]},
+		mk_pos0_w = {mk_bus_w[15], mk_bus_w[15:8]},
+		mk_pos1_w = {mk_bus_w[23], mk_bus_w[23:16]},
+		mk_pos2_w = {mk_bus_w[31], mk_bus_w[31:24]},
+		q_next_w = {k_comp_w[1], ((~ k_comp_w[3]) | k_comp_w[0]), ((k_comp_w[3] & (~ k_comp_w[2])) | (k_comp_w[1] & (~ k_comp_w[0])))},
+		Rk_in_w = {decoder_bus[11:4], 1'b0},
+		Rk_w = Rk_in_w;
+endmodule //fpoint_hw_qsys_div_single_qds_block_ls9
+
+//synthesis_resources = lpm_add_sub 12 lpm_compare 4 lpm_mux 2 reg 200 
+//synopsys translate_off
+`timescale 1 ps / 1 ps
+//synopsys translate_on
+module  fpoint_hw_qsys_div_single_srt_block_int_84n
+	( 
+	aclr,
+	clken,
+	clock,
+	divider,
+	divider_reg,
+	Rk,
+	Rk_next,
+	rom) /* synthesis synthesis_clearbox=1 */;
+	input   aclr;
+	input   clken;
+	input   clock;
+	input   [23:0]  divider;
+	output   [23:0]  divider_reg;
+	input   [24:0]  Rk;
+	output   [24:0]  Rk_next;
+	output   [2:0]  rom;
+`ifndef ALTERA_RESERVED_QIS
+// synopsys translate_off
+`endif
+	tri0   aclr;
+	tri1   clken;
+	tri0   clock;
+`ifndef ALTERA_RESERVED_QIS
+// synopsys translate_on
+`endif
+
+	wire  [26:0]   wire_altfp_div_csa40_result;
+	wire  [26:0]   wire_altfp_div_csa41_result;
+	wire  [26:0]   wire_altfp_div_csa42_result;
+	wire  [26:0]   wire_altfp_div_csa43_result;
+	reg	[22:0]	divider_dffe;
+	reg	[22:0]	divider_dffe_1a;
+	reg	[24:0]	neg_qk1d_dffe;
+	reg	[23:0]	neg_qk2d_dffe;
+	reg	[24:0]	pos_qk1d_dffe;
+	reg	[23:0]	pos_qk2d_dffe;
+	reg	[24:0]	Rk_adder_padded_dffe;
+	reg	[24:0]	Rk_next_dffe;
+	reg	[2:0]	rom_out_dffe;
+	wire  [24:0]   wire_mux44_result;
+	wire  [2:0]   wire_qds_block39_decoder_output;
+	wire  [26:0]  divider_1D_w;
+	wire  [26:0]  divider_2D_w;
+	wire  [22:0]  divider_dffe_1a_w;
+	wire  [23:0]  divider_dffe_w;
+	wire  [23:0]  divider_in_w;
+	wire  [24:0]  neg_qk1d_int_w;
+	wire  [24:0]  neg_qk2d_int_w;
+	wire  [1:0]  padded_2_zeros_w;
+	wire  [2:0]  padded_3_zeros_w;
+	wire  [24:0]  pos_qk0d_int_w;
+	wire  [24:0]  pos_qk1d_int_w;
+	wire  [24:0]  pos_qk2d_int_w;
+	wire  [199:0]  qkd_mux_input_w;
+	wire  [24:0]  qkd_mux_w;
+	wire  [26:0]  Rk_adder_padded_w;
+	wire  [24:0]  Rk_dffe_1a_w;
+	wire  [24:0]  Rk_in_w;
+	wire  [24:0]  Rk_next_dffe_w;
+	wire  [11:0]  rom_add_w;
+	wire  [2:0]  rom_mux_w;
+	wire  [2:0]  rom_out_1a_w;
+	wire  [2:0]  rom_out_dffe_w;
+
+	fpoint_hw_qsys_div_single_altfp_div_csa_pke   altfp_div_csa40
+	( 
+	.dataa(Rk_adder_padded_w),
+	.datab(divider_1D_w),
+	.result(wire_altfp_div_csa40_result));
+	fpoint_hw_qsys_div_single_altfp_div_csa_pke   altfp_div_csa41
+	( 
+	.dataa(Rk_adder_padded_w),
+	.datab(divider_2D_w),
+	.result(wire_altfp_div_csa41_result));
+	fpoint_hw_qsys_div_single_altfp_div_csa_qle   altfp_div_csa42
+	( 
+	.dataa(Rk_adder_padded_w),
+	.datab(divider_1D_w),
+	.result(wire_altfp_div_csa42_result));
+	fpoint_hw_qsys_div_single_altfp_div_csa_qle   altfp_div_csa43
+	( 
+	.dataa(Rk_adder_padded_w),
+	.datab(divider_2D_w),
+	.result(wire_altfp_div_csa43_result));
+	// synopsys translate_off
+	initial
+		divider_dffe = 0;
+	// synopsys translate_on
+	always @ ( posedge clock or  posedge aclr)
+		if (aclr == 1'b1) divider_dffe <= 23'b0;
+		else if  (clken == 1'b1)   divider_dffe <= divider_dffe_1a_w;
+	// synopsys translate_off
+	initial
+		divider_dffe_1a = 0;
+	// synopsys translate_on
+	always @ ( posedge clock or  posedge aclr)
+		if (aclr == 1'b1) divider_dffe_1a <= 23'b0;
+		else if  (clken == 1'b1)   divider_dffe_1a <= divider_in_w[22:0];
+	// synopsys translate_off
+	initial
+		neg_qk1d_dffe = 0;
+	// synopsys translate_on
+	always @ ( posedge clock or  posedge aclr)
+		if (aclr == 1'b1) neg_qk1d_dffe <= 25'b0;
+		else if  (clken == 1'b1)   neg_qk1d_dffe <= wire_altfp_div_csa42_result[24:0];
+	// synopsys translate_off
+	initial
+		neg_qk2d_dffe = 0;
+	// synopsys translate_on
+	always @ ( posedge clock or  posedge aclr)
+		if (aclr == 1'b1) neg_qk2d_dffe <= 24'b0;
+		else if  (clken == 1'b1)   neg_qk2d_dffe <= wire_altfp_div_csa43_result[24:1];
+	// synopsys translate_off
+	initial
+		pos_qk1d_dffe = 0;
+	// synopsys translate_on
+	always @ ( posedge clock or  posedge aclr)
+		if (aclr == 1'b1) pos_qk1d_dffe <= 25'b0;
+		else if  (clken == 1'b1)   pos_qk1d_dffe <= wire_altfp_div_csa40_result[24:0];
+	// synopsys translate_off
+	initial
+		pos_qk2d_dffe = 0;
+	// synopsys translate_on
+	always @ ( posedge clock or  posedge aclr)
+		if (aclr == 1'b1) pos_qk2d_dffe <= 24'b0;
+		else if  (clken == 1'b1)   pos_qk2d_dffe <= wire_altfp_div_csa41_result[24:1];
+	// synopsys translate_off
+	initial
+		Rk_adder_padded_dffe = 0;
+	// synopsys translate_on
+	always @ ( posedge clock or  posedge aclr)
+		if (aclr == 1'b1) Rk_adder_padded_dffe <= 25'b0;
+		else if  (clken == 1'b1)   Rk_adder_padded_dffe <= Rk_adder_padded_w[26:2];
+	// synopsys translate_off
+	initial
+		Rk_next_dffe = 0;
+	// synopsys translate_on
+	always @ ( posedge clock or  posedge aclr)
+		if (aclr == 1'b1) Rk_next_dffe <= 25'b0;
+		else if  (clken == 1'b1)   Rk_next_dffe <= qkd_mux_w;
+	// synopsys translate_off
+	initial
+		rom_out_dffe = 0;
+	// synopsys translate_on
+	always @ ( posedge clock or  posedge aclr)
+		if (aclr == 1'b1) rom_out_dffe <= 3'b0;
+		else if  (clken == 1'b1)   rom_out_dffe <= rom_out_1a_w;
+	lpm_mux   mux44
+	( 
+	.data(qkd_mux_input_w),
+	.result(wire_mux44_result),
+	.sel(rom_mux_w)
+	`ifndef FORMAL_VERIFICATION
+	// synopsys translate_off
+	`endif
+	,
+	.aclr(1'b0),
+	.clken(1'b1),
+	.clock(1'b0)
+	`ifndef FORMAL_VERIFICATION
+	// synopsys translate_on
+	`endif
+	);
+	defparam
+		mux44.lpm_size = 8,
+		mux44.lpm_width = 25,
+		mux44.lpm_widths = 3,
+		mux44.lpm_type = "lpm_mux";
+	fpoint_hw_qsys_div_single_qds_block_ls9   qds_block39
+	( 
+	.aclr(aclr),
+	.clken(clken),
+	.clock(clock),
+	.decoder_bus(rom_add_w),
+	.decoder_output(wire_qds_block39_decoder_output));
+	assign
+		divider_1D_w = {padded_3_zeros_w, divider_in_w},
+		divider_2D_w = {padded_2_zeros_w, divider_in_w, 1'b0},
+		divider_dffe_1a_w = divider_dffe_1a,
+		divider_dffe_w = {1'b1, divider_dffe},
+		divider_in_w = divider,
+		divider_reg = divider_dffe_w,
+		neg_qk1d_int_w = neg_qk1d_dffe,
+		neg_qk2d_int_w = {neg_qk2d_dffe, 1'b0},
+		padded_2_zeros_w = {2{1'b0}},
+		padded_3_zeros_w = {3{1'b0}},
+		pos_qk0d_int_w = {Rk_adder_padded_dffe[22:0], padded_2_zeros_w},
+		pos_qk1d_int_w = pos_qk1d_dffe,
+		pos_qk2d_int_w = {pos_qk2d_dffe, 1'b0},
+		qkd_mux_input_w = {{2{pos_qk2d_int_w}}, pos_qk1d_int_w, pos_qk0d_int_w, {2{neg_qk2d_int_w}}, neg_qk1d_int_w, pos_qk0d_int_w},
+		qkd_mux_w = wire_mux44_result[24:0],
+		Rk_adder_padded_w = {Rk_dffe_1a_w, padded_2_zeros_w},
+		Rk_dffe_1a_w = Rk_in_w,
+		Rk_in_w = Rk,
+		Rk_next = Rk_next_dffe_w,
+		Rk_next_dffe_w = Rk_next_dffe,
+		rom = rom_out_dffe_w,
+		rom_add_w = {Rk_in_w[24:17], divider_in_w[22:19]},
+		rom_mux_w = rom_out_1a_w,
+		rom_out_1a_w = wire_qds_block39_decoder_output,
+		rom_out_dffe_w = rom_out_dffe;
+endmodule //fpoint_hw_qsys_div_single_srt_block_int_84n
+
+
+//srt_block_int CBX_AUTO_BLACKBOX="ON" CBX_SINGLE_OUTPUT_FILE="ON" DEVICE_FAMILY="STRATIXIV" OPTIMIZE="SPEED" POSITION="LAST" WIDTH_DIV=24 WIDTH_RK_IN=25 WIDTH_RK_OUT=27 WIDTH_ROM=3 WIDTH_ROM_ADD=12 aclr clken clock divider divider_reg Rk Rk_next rom
+//VERSION_BEGIN 10.1 cbx_altbarrel_shift 2010:09:06:21:07:24:PN cbx_altfp_div 2010:09:06:21:07:24:PN cbx_altsyncram 2010:09:06:21:07:25:PN cbx_cycloneii 2010:09:06:21:07:25:PN cbx_lpm_abs 2010:09:06:21:07:25:PN cbx_lpm_add_sub 2010:09:06:21:07:25:PN cbx_lpm_compare 2010:09:06:21:07:25:PN cbx_lpm_decode 2010:09:06:21:07:25:PN cbx_lpm_divide 2010:09:06:21:07:25:PN cbx_lpm_mult 2010:09:06:21:07:25:PN cbx_lpm_mux 2010:09:06:21:07:25:PN cbx_mgl 2010:09:06:21:22:18:PN cbx_padd 2010:09:06:21:07:25:PN cbx_stratix 2010:09:06:21:07:25:PN cbx_stratixii 2010:09:06:21:07:25:PN cbx_stratixiii 2010:09:06:21:07:25:PN cbx_stratixv 2010:09:06:21:07:25:PN cbx_util_mgl 2010:09:06:21:07:25:PN  VERSION_END
+
+//synthesis_resources = lpm_add_sub 12 lpm_compare 4 lpm_mux 2 reg 159 
+//synopsys translate_off
+`timescale 1 ps / 1 ps
+//synopsys translate_on
+module  fpoint_hw_qsys_div_single_srt_block_int_fum
+	( 
+	aclr,
+	clken,
+	clock,
+	divider,
+	divider_reg,
+	Rk,
+	Rk_next,
+	rom) /* synthesis synthesis_clearbox=1 */;
+	input   aclr;
+	input   clken;
+	input   clock;
+	input   [23:0]  divider;
+	output   [23:0]  divider_reg;
+	input   [24:0]  Rk;
+	output   [26:0]  Rk_next;
+	output   [2:0]  rom;
+`ifndef ALTERA_RESERVED_QIS
+// synopsys translate_off
+`endif
+	tri0   aclr;
+	tri1   clken;
+	tri0   clock;
+`ifndef ALTERA_RESERVED_QIS
+// synopsys translate_on
+`endif
+
+	wire  [26:0]   wire_altfp_div_csa51_result;
+	wire  [26:0]   wire_altfp_div_csa52_result;
+	wire  [26:0]   wire_altfp_div_csa53_result;
+	wire  [26:0]   wire_altfp_div_csa54_result;
+	reg	[22:0]	divider_dffe_1a;
+	reg	[26:0]	neg_qk1d_dffe;
+	reg	[26:0]	neg_qk2d_dffe;
+	reg	[26:0]	pos_qk1d_dffe;
+	reg	[26:0]	pos_qk2d_dffe;
+	reg	[24:0]	Rk_adder_padded_dffe;
+	wire  [26:0]   wire_mux55_result;
+	wire  [2:0]   wire_qds_block50_decoder_output;
+	wire  [26:0]  divider_1D_w;
+	wire  [26:0]  divider_2D_w;
+	wire  [22:0]  divider_dffe_1a_w;
+	wire  [23:0]  divider_dffe_w;
+	wire  [23:0]  divider_in_w;
+	wire  [26:0]  neg_qk1d_int_w;
+	wire  [26:0]  neg_qk2d_int_w;
+	wire  [1:0]  padded_2_zeros_w;
+	wire  [2:0]  padded_3_zeros_w;
+	wire  [26:0]  pos_qk0d_int_w;
+	wire  [26:0]  pos_qk1d_int_w;
+	wire  [26:0]  pos_qk2d_int_w;
+	wire  [215:0]  qkd_mux_input_w;
+	wire  [26:0]  qkd_mux_w;
+	wire  [26:0]  Rk_adder_padded_w;
+	wire  [24:0]  Rk_dffe_1a_w;
+	wire  [24:0]  Rk_in_w;
+	wire  [26:0]  Rk_next_dffe_w;
+	wire  [11:0]  rom_add_w;
+	wire  [2:0]  rom_mux_w;
+	wire  [2:0]  rom_out_1a_w;
+	wire  [2:0]  rom_out_dffe_w;
+
+	fpoint_hw_qsys_div_single_altfp_div_csa_pke   altfp_div_csa51
+	( 
+	.dataa(Rk_adder_padded_w),
+	.datab(divider_1D_w),
+	.result(wire_altfp_div_csa51_result));
+	fpoint_hw_qsys_div_single_altfp_div_csa_pke   altfp_div_csa52
+	( 
+	.dataa(Rk_adder_padded_w),
+	.datab(divider_2D_w),
+	.result(wire_altfp_div_csa52_result));
+	fpoint_hw_qsys_div_single_altfp_div_csa_qle   altfp_div_csa53
+	( 
+	.dataa(Rk_adder_padded_w),
+	.datab(divider_1D_w),
+	.result(wire_altfp_div_csa53_result));
+	fpoint_hw_qsys_div_single_altfp_div_csa_qle   altfp_div_csa54
+	( 
+	.dataa(Rk_adder_padded_w),
+	.datab(divider_2D_w),
+	.result(wire_altfp_div_csa54_result));
+	// synopsys translate_off
+	initial
+		divider_dffe_1a = 0;
+	// synopsys translate_on
+	always @ ( posedge clock or  posedge aclr)
+		if (aclr == 1'b1) divider_dffe_1a <= 23'b0;
+		else if  (clken == 1'b1)   divider_dffe_1a <= divider_in_w[22:0];
+	// synopsys translate_off
+	initial
+		neg_qk1d_dffe = 0;
+	// synopsys translate_on
+	always @ ( posedge clock or  posedge aclr)
+		if (aclr == 1'b1) neg_qk1d_dffe <= 27'b0;
+		else if  (clken == 1'b1)   neg_qk1d_dffe <= wire_altfp_div_csa53_result[26:0];
+	// synopsys translate_off
+	initial
+		neg_qk2d_dffe = 0;
+	// synopsys translate_on
+	always @ ( posedge clock or  posedge aclr)
+		if (aclr == 1'b1) neg_qk2d_dffe <= 27'b0;
+		else if  (clken == 1'b1)   neg_qk2d_dffe <= wire_altfp_div_csa54_result[26:0];
+	// synopsys translate_off
+	initial
+		pos_qk1d_dffe = 0;
+	// synopsys translate_on
+	always @ ( posedge clock or  posedge aclr)
+		if (aclr == 1'b1) pos_qk1d_dffe <= 27'b0;
+		else if  (clken == 1'b1)   pos_qk1d_dffe <= wire_altfp_div_csa51_result[26:0];
+	// synopsys translate_off
+	initial
+		pos_qk2d_dffe = 0;
+	// synopsys translate_on
+	always @ ( posedge clock or  posedge aclr)
+		if (aclr == 1'b1) pos_qk2d_dffe <= 27'b0;
+		else if  (clken == 1'b1)   pos_qk2d_dffe <= wire_altfp_div_csa52_result[26:0];
+	// synopsys translate_off
+	initial
+		Rk_adder_padded_dffe = 0;
+	// synopsys translate_on
+	always @ ( posedge clock or  posedge aclr)
+		if (aclr == 1'b1) Rk_adder_padded_dffe <= 25'b0;
+		else if  (clken == 1'b1)   Rk_adder_padded_dffe <= Rk_adder_padded_w[26:2];
+	lpm_mux   mux55
+	( 
+	.data(qkd_mux_input_w),
+	.result(wire_mux55_result),
+	.sel(rom_mux_w)
+	`ifndef FORMAL_VERIFICATION
+	// synopsys translate_off
+	`endif
+	,
+	.aclr(1'b0),
+	.clken(1'b1),
+	.clock(1'b0)
+	`ifndef FORMAL_VERIFICATION
+	// synopsys translate_on
+	`endif
+	);
+	defparam
+		mux55.lpm_size = 8,
+		mux55.lpm_width = 27,
+		mux55.lpm_widths = 3,
+		mux55.lpm_type = "lpm_mux";
+	fpoint_hw_qsys_div_single_qds_block_ls9   qds_block50
+	( 
+	.aclr(aclr),
+	.clken(clken),
+	.clock(clock),
+	.decoder_bus(rom_add_w),
+	.decoder_output(wire_qds_block50_decoder_output));
+	assign
+		divider_1D_w = {padded_3_zeros_w, divider_in_w},
+		divider_2D_w = {padded_2_zeros_w, divider_in_w, 1'b0},
+		divider_dffe_1a_w = divider_dffe_1a,
+		divider_dffe_w = {1'b1, divider_dffe_1a_w},
+		divider_in_w = divider,
+		divider_reg = divider_dffe_w,
+		neg_qk1d_int_w = neg_qk1d_dffe,
+		neg_qk2d_int_w = neg_qk2d_dffe,
+		padded_2_zeros_w = {2{1'b0}},
+		padded_3_zeros_w = {3{1'b0}},
+		pos_qk0d_int_w = {Rk_adder_padded_dffe[24:0], padded_2_zeros_w},
+		pos_qk1d_int_w = pos_qk1d_dffe,
+		pos_qk2d_int_w = pos_qk2d_dffe,
+		qkd_mux_input_w = {{2{pos_qk2d_int_w}}, pos_qk1d_int_w, pos_qk0d_int_w, {2{neg_qk2d_int_w}}, neg_qk1d_int_w, pos_qk0d_int_w},
+		qkd_mux_w = wire_mux55_result[26:0],
+		Rk_adder_padded_w = {Rk_dffe_1a_w, padded_2_zeros_w},
+		Rk_dffe_1a_w = Rk_in_w,
+		Rk_in_w = Rk,
+		Rk_next = Rk_next_dffe_w,
+		Rk_next_dffe_w = qkd_mux_w,
+		rom = rom_out_dffe_w,
+		rom_add_w = {Rk_in_w[24:17], divider_in_w[22:19]},
+		rom_mux_w = rom_out_1a_w,
+		rom_out_1a_w = wire_qds_block50_decoder_output,
+		rom_out_dffe_w = rom_out_1a_w;
+endmodule //fpoint_hw_qsys_div_single_srt_block_int_fum
+
+//synthesis_resources = lpm_add_sub 177 lpm_compare 56 lpm_mux 28 reg 3289 
+//synopsys translate_off
+`timescale 1 ps / 1 ps
+//synopsys translate_on
+module  fpoint_hw_qsys_div_single_altfp_div_srt_ext_5mh
+	( 
+	aclr,
+	clken,
+	clock,
+	denom,
+	divider,
+	numer,
+	quotient,
+	remain) /* synthesis synthesis_clearbox=1 */;
+	input   aclr;
+	input   clken;
+	input   clock;
+	input   [23:0]  denom;
+	output   [23:0]  divider;
+	input   [23:0]  numer;
+	output   [27:0]  quotient;
+	output   [23:0]  remain;
+`ifndef ALTERA_RESERVED_QIS
+// synopsys translate_off
+`endif
+	tri0   aclr;
+	tri1   clken;
+	tri0   clock;
+`ifndef ALTERA_RESERVED_QIS
+// synopsys translate_on
+`endif
+
+	wire  [23:0]   wire_altfp_div_csa25_result;
+	wire  [27:0]   wire_altfp_div_csa26_result;
+	wire  [27:0]   wire_altfp_div_csa27_result;
+	reg	[23:0]	divider_next_special_dffe;
+	reg	[26:0]	Rk_remainder_special_dffe;
+	reg	[49:0]	rom_reg_dffe0c;
+	reg	[14:0]	rom_reg_dffe10c;
+	reg	[8:0]	rom_reg_dffe11c;
+	reg	[2:0]	rom_reg_dffe12c;
+	reg	[68:0]	rom_reg_dffe1c;
+	reg	[62:0]	rom_reg_dffe2c;
+	reg	[56:0]	rom_reg_dffe3c;
+	reg	[50:0]	rom_reg_dffe4c;
+	reg	[44:0]	rom_reg_dffe5c;
+	reg	[38:0]	rom_reg_dffe6c;
+	reg	[32:0]	rom_reg_dffe7c;
+	reg	[26:0]	rom_reg_dffe8c;
+	reg	[20:0]	rom_reg_dffe9c;
+	wire  [23:0]   wire_srt_block_int11_divider_reg;
+	wire  [24:0]   wire_srt_block_int11_Rk_next;
+	wire  [2:0]   wire_srt_block_int11_rom;
+	wire  [23:0]   wire_srt_block_int12_divider_reg;
+	wire  [24:0]   wire_srt_block_int12_Rk_next;
+	wire  [2:0]   wire_srt_block_int12_rom;
+	wire  [23:0]   wire_srt_block_int13_divider_reg;
+	wire  [24:0]   wire_srt_block_int13_Rk_next;
+	wire  [2:0]   wire_srt_block_int13_rom;
+	wire  [23:0]   wire_srt_block_int14_divider_reg;
+	wire  [24:0]   wire_srt_block_int14_Rk_next;
+	wire  [2:0]   wire_srt_block_int14_rom;
+	wire  [23:0]   wire_srt_block_int15_divider_reg;
+	wire  [24:0]   wire_srt_block_int15_Rk_next;
+	wire  [2:0]   wire_srt_block_int15_rom;
+	wire  [23:0]   wire_srt_block_int16_divider_reg;
+	wire  [24:0]   wire_srt_block_int16_Rk_next;
+	wire  [2:0]   wire_srt_block_int16_rom;
+	wire  [23:0]   wire_srt_block_int17_divider_reg;
+	wire  [24:0]   wire_srt_block_int17_Rk_next;
+	wire  [2:0]   wire_srt_block_int17_rom;
+	wire  [23:0]   wire_srt_block_int18_divider_reg;
+	wire  [24:0]   wire_srt_block_int18_Rk_next;
+	wire  [2:0]   wire_srt_block_int18_rom;
+	wire  [23:0]   wire_srt_block_int19_divider_reg;
+	wire  [24:0]   wire_srt_block_int19_Rk_next;
+	wire  [2:0]   wire_srt_block_int19_rom;
+	wire  [23:0]   wire_srt_block_int20_divider_reg;
+	wire  [24:0]   wire_srt_block_int20_Rk_next;
+	wire  [2:0]   wire_srt_block_int20_rom;
+	wire  [23:0]   wire_srt_block_int21_divider_reg;
+	wire  [24:0]   wire_srt_block_int21_Rk_next;
+	wire  [2:0]   wire_srt_block_int21_rom;
+	wire  [23:0]   wire_srt_block_int22_divider_reg;
+	wire  [24:0]   wire_srt_block_int22_Rk_next;
+	wire  [2:0]   wire_srt_block_int22_rom;
+	wire  [23:0]   wire_srt_block_int23_divider_reg;
+	wire  [24:0]   wire_srt_block_int23_Rk_next;
+	wire  [2:0]   wire_srt_block_int23_rom;
+	wire  [23:0]   wire_srt_block_int24_divider_reg;
+	wire  [26:0]   wire_srt_block_int24_Rk_next;
+	wire  [2:0]   wire_srt_block_int24_rom;
+	wire  [23:0]  added_remainder_w;
+	wire  [23:0]  divider_dffe_w;
+	wire  [23:0]  divider_next_special_w;
+	wire  [23:0]  divider_next_w0c;
+	wire  [23:0]  divider_next_w10c;
+	wire  [23:0]  divider_next_w11c;
+	wire  [23:0]  divider_next_w12c;
+	wire  [23:0]  divider_next_w13c;
+	wire  [23:0]  divider_next_w1c;
+	wire  [23:0]  divider_next_w2c;
+	wire  [23:0]  divider_next_w3c;
+	wire  [23:0]  divider_next_w4c;
+	wire  [23:0]  divider_next_w5c;
+	wire  [23:0]  divider_next_w6c;
+	wire  [23:0]  divider_next_w7c;
+	wire  [23:0]  divider_next_w8c;
+	wire  [23:0]  divider_next_w9c;
+	wire  [23:0]  divider_w;
+	wire  [27:0]  full_neg_rom_w;
+	wire  [27:0]  full_pos_rom_w;
+	wire  mux_remainder_w;
+	wire  [1:0]  neg_rom_w0c;
+	wire  [1:0]  neg_rom_w10c;
+	wire  [1:0]  neg_rom_w11c;
+	wire  [1:0]  neg_rom_w12c;
+	wire  [1:0]  neg_rom_w13c;
+	wire  [1:0]  neg_rom_w1c;
+	wire  [1:0]  neg_rom_w2c;
+	wire  [1:0]  neg_rom_w3c;
+	wire  [1:0]  neg_rom_w4c;
+	wire  [1:0]  neg_rom_w5c;
+	wire  [1:0]  neg_rom_w6c;
+	wire  [1:0]  neg_rom_w7c;
+	wire  [1:0]  neg_rom_w8c;
+	wire  [1:0]  neg_rom_w9c;
+	wire  [1:0]  pos_rom_w0c;
+	wire  [1:0]  pos_rom_w10c;
+	wire  [1:0]  pos_rom_w11c;
+	wire  [1:0]  pos_rom_w12c;
+	wire  [1:0]  pos_rom_w13c;
+	wire  [1:0]  pos_rom_w1c;
+	wire  [1:0]  pos_rom_w2c;
+	wire  [1:0]  pos_rom_w3c;
+	wire  [1:0]  pos_rom_w4c;
+	wire  [1:0]  pos_rom_w5c;
+	wire  [1:0]  pos_rom_w6c;
+	wire  [1:0]  pos_rom_w7c;
+	wire  [1:0]  pos_rom_w8c;
+	wire  [1:0]  pos_rom_w9c;
+	wire  [24:0]  Rk_next0_w;
+	wire  [24:0]  Rk_next_w0c;
+	wire  [24:0]  Rk_next_w10c;
+	wire  [24:0]  Rk_next_w11c;
+	wire  [24:0]  Rk_next_w12c;
+	wire  [26:0]  Rk_next_w13c;
+	wire  [24:0]  Rk_next_w1c;
+	wire  [24:0]  Rk_next_w2c;
+	wire  [24:0]  Rk_next_w3c;
+	wire  [24:0]  Rk_next_w4c;
+	wire  [24:0]  Rk_next_w5c;
+	wire  [24:0]  Rk_next_w6c;
+	wire  [24:0]  Rk_next_w7c;
+	wire  [24:0]  Rk_next_w8c;
+	wire  [24:0]  Rk_next_w9c;
+	wire  [26:0]  Rk_remainder_special_w;
+	wire  [23:0]  Rk_remainder_w;
+	wire  [23:0]  Rk_w;
+	wire  [2:0]  rom_dffe_w0c;
+	wire  [2:0]  rom_dffe_w10c;
+	wire  [2:0]  rom_dffe_w11c;
+	wire  [2:0]  rom_dffe_w12c;
+	wire  [2:0]  rom_dffe_w13c;
+	wire  [2:0]  rom_dffe_w1c;
+	wire  [2:0]  rom_dffe_w2c;
+	wire  [2:0]  rom_dffe_w3c;
+	wire  [2:0]  rom_dffe_w4c;
+	wire  [2:0]  rom_dffe_w5c;
+	wire  [2:0]  rom_dffe_w6c;
+	wire  [2:0]  rom_dffe_w7c;
+	wire  [2:0]  rom_dffe_w8c;
+	wire  [2:0]  rom_dffe_w9c;
+	wire  [13:0]  rom_mux_w;
+	wire  [2:0]  rom_out_1a_w;
+	wire  [2:0]  rom_out_w0c;
+	wire  [2:0]  rom_out_w10c;
+	wire  [2:0]  rom_out_w11c;
+	wire  [2:0]  rom_out_w12c;
+	wire  [2:0]  rom_out_w13c;
+	wire  [2:0]  rom_out_w1c;
+	wire  [2:0]  rom_out_w2c;
+	wire  [2:0]  rom_out_w3c;
+	wire  [2:0]  rom_out_w4c;
+	wire  [2:0]  rom_out_w5c;
+	wire  [2:0]  rom_out_w6c;
+	wire  [2:0]  rom_out_w7c;
+	wire  [2:0]  rom_out_w8c;
+	wire  [2:0]  rom_out_w9c;
+	wire  [27:0]  srt_adjust_w;
+	wire  [27:0]  srt_adjusted_w;
+	wire  [27:0]  true_quotient_w;
+	wire  [27:0]  value_one_w;
+	wire  [1:0]  zero_quotient_w;
+
+	fpoint_hw_qsys_div_single_altfp_div_csa_mke   altfp_div_csa25
+	( 
+	.dataa(Rk_remainder_special_w[23:0]),
+	.datab(divider_next_special_w),
+	.result(wire_altfp_div_csa25_result));
+	fpoint_hw_qsys_div_single_altfp_div_csa_2jh   altfp_div_csa26
+	( 
+	.aclr(aclr),
+	.clken(clken),
+	.clock(clock),
+	.dataa(full_pos_rom_w),
+	.datab(full_neg_rom_w),
+	.result(wire_altfp_div_csa26_result));
+	fpoint_hw_qsys_div_single_altfp_div_csa_rle   altfp_div_csa27
+	( 
+	.dataa(srt_adjust_w),
+	.datab(value_one_w),
+	.result(wire_altfp_div_csa27_result));
+	// synopsys translate_off
+	initial
+		divider_next_special_dffe = 0;
+	// synopsys translate_on
+	always @ ( posedge clock or  posedge aclr)
+		if (aclr == 1'b1) divider_next_special_dffe <= 24'b0;
+		else if  (clken == 1'b1)   divider_next_special_dffe <= divider_next_w13c;
+	// synopsys translate_off
+	initial
+		Rk_remainder_special_dffe = 0;
+	// synopsys translate_on
+	always @ ( posedge clock or  posedge aclr)
+		if (aclr == 1'b1) Rk_remainder_special_dffe <= 27'b0;
+		else if  (clken == 1'b1)   Rk_remainder_special_dffe <= Rk_next_w13c;
+	// synopsys translate_off
+	initial
+		rom_reg_dffe0c = 0;
+	// synopsys translate_on
+	always @ ( posedge clock or  posedge aclr)
+		if (aclr == 1'b1) rom_reg_dffe0c <= 50'b0;
+		else if  (clken == 1'b1)   rom_reg_dffe0c <= {rom_reg_dffe0c[47:0], rom_out_w0c[1:0]};
+	// synopsys translate_off
+	initial
+		rom_reg_dffe10c = 0;
+	// synopsys translate_on
+	always @ ( posedge clock or  posedge aclr)
+		if (aclr == 1'b1) rom_reg_dffe10c <= 15'b0;
+		else if  (clken == 1'b1)   rom_reg_dffe10c <= {rom_reg_dffe10c[11:0], rom_out_w10c};
+	// synopsys translate_off
+	initial
+		rom_reg_dffe11c = 0;
+	// synopsys translate_on
+	always @ ( posedge clock or  posedge aclr)
+		if (aclr == 1'b1) rom_reg_dffe11c <= 9'b0;
+		else if  (clken == 1'b1)   rom_reg_dffe11c <= {rom_reg_dffe11c[5:0], rom_out_w11c};
+	// synopsys translate_off
+	initial
+		rom_reg_dffe12c = 0;
+	// synopsys translate_on
+	always @ ( posedge clock or  posedge aclr)
+		if (aclr == 1'b1) rom_reg_dffe12c <= 3'b0;
+		else if  (clken == 1'b1)   rom_reg_dffe12c <= {rom_out_w12c};
+	// synopsys translate_off
+	initial
+		rom_reg_dffe1c = 0;
+	// synopsys translate_on
+	always @ ( posedge clock or  posedge aclr)
+		if (aclr == 1'b1) rom_reg_dffe1c <= 69'b0;
+		else if  (clken == 1'b1)   rom_reg_dffe1c <= {rom_reg_dffe1c[65:0], rom_out_w1c};
+	// synopsys translate_off
+	initial
+		rom_reg_dffe2c = 0;
+	// synopsys translate_on
+	always @ ( posedge clock or  posedge aclr)
+		if (aclr == 1'b1) rom_reg_dffe2c <= 63'b0;
+		else if  (clken == 1'b1)   rom_reg_dffe2c <= {rom_reg_dffe2c[59:0], rom_out_w2c};
+	// synopsys translate_off
+	initial
+		rom_reg_dffe3c = 0;
+	// synopsys translate_on
+	always @ ( posedge clock or  posedge aclr)
+		if (aclr == 1'b1) rom_reg_dffe3c <= 57'b0;
+		else if  (clken == 1'b1)   rom_reg_dffe3c <= {rom_reg_dffe3c[53:0], rom_out_w3c};
+	// synopsys translate_off
+	initial
+		rom_reg_dffe4c = 0;
+	// synopsys translate_on
+	always @ ( posedge clock or  posedge aclr)
+		if (aclr == 1'b1) rom_reg_dffe4c <= 51'b0;
+		else if  (clken == 1'b1)   rom_reg_dffe4c <= {rom_reg_dffe4c[47:0], rom_out_w4c};
+	// synopsys translate_off
+	initial
+		rom_reg_dffe5c = 0;
+	// synopsys translate_on
+	always @ ( posedge clock or  posedge aclr)
+		if (aclr == 1'b1) rom_reg_dffe5c <= 45'b0;
+		else if  (clken == 1'b1)   rom_reg_dffe5c <= {rom_reg_dffe5c[41:0], rom_out_w5c};
+	// synopsys translate_off
+	initial
+		rom_reg_dffe6c = 0;
+	// synopsys translate_on
+	always @ ( posedge clock or  posedge aclr)
+		if (aclr == 1'b1) rom_reg_dffe6c <= 39'b0;
+		else if  (clken == 1'b1)   rom_reg_dffe6c <= {rom_reg_dffe6c[35:0], rom_out_w6c};
+	// synopsys translate_off
+	initial
+		rom_reg_dffe7c = 0;
+	// synopsys translate_on
+	always @ ( posedge clock or  posedge aclr)
+		if (aclr == 1'b1) rom_reg_dffe7c <= 33'b0;
+		else if  (clken == 1'b1)   rom_reg_dffe7c <= {rom_reg_dffe7c[29:0], rom_out_w7c};
+	// synopsys translate_off
+	initial
+		rom_reg_dffe8c = 0;
+	// synopsys translate_on
+	always @ ( posedge clock or  posedge aclr)
+		if (aclr == 1'b1) rom_reg_dffe8c <= 27'b0;
+		else if  (clken == 1'b1)   rom_reg_dffe8c <= {rom_reg_dffe8c[23:0], rom_out_w8c};
+	// synopsys translate_off
+	initial
+		rom_reg_dffe9c = 0;
+	// synopsys translate_on
+	always @ ( posedge clock or  posedge aclr)
+		if (aclr == 1'b1) rom_reg_dffe9c <= 21'b0;
+		else if  (clken == 1'b1)   rom_reg_dffe9c <= {rom_reg_dffe9c[17:0], rom_out_w9c};
+	fpoint_hw_qsys_div_single_srt_block_int_02n   srt_block_int11
+	( 
+	.aclr(aclr),
+	.clken(clken),
+	.clock(clock),
+	.divider(divider_w),
+	.divider_reg(wire_srt_block_int11_divider_reg),
+	.Rk(Rk_w),
+	.Rk_next(wire_srt_block_int11_Rk_next),
+	.rom(wire_srt_block_int11_rom));
+	fpoint_hw_qsys_div_single_srt_block_int_84n   srt_block_int12
+	( 
+	.aclr(aclr),
+	.clken(clken),
+	.clock(clock),
+	.divider(divider_next_w0c),
+	.divider_reg(wire_srt_block_int12_divider_reg),
+	.Rk(Rk_next_w0c),
+	.Rk_next(wire_srt_block_int12_Rk_next),
+	.rom(wire_srt_block_int12_rom));
+	fpoint_hw_qsys_div_single_srt_block_int_84n   srt_block_int13
+	( 
+	.aclr(aclr),
+	.clken(clken),
+	.clock(clock),
+	.divider(divider_next_w1c),
+	.divider_reg(wire_srt_block_int13_divider_reg),
+	.Rk(Rk_next_w1c),
+	.Rk_next(wire_srt_block_int13_Rk_next),
+	.rom(wire_srt_block_int13_rom));
+	fpoint_hw_qsys_div_single_srt_block_int_84n   srt_block_int14
+	( 
+	.aclr(aclr),
+	.clken(clken),
+	.clock(clock),
+	.divider(divider_next_w2c),
+	.divider_reg(wire_srt_block_int14_divider_reg),
+	.Rk(Rk_next_w2c),
+	.Rk_next(wire_srt_block_int14_Rk_next),
+	.rom(wire_srt_block_int14_rom));
+	fpoint_hw_qsys_div_single_srt_block_int_84n   srt_block_int15
+	( 
+	.aclr(aclr),
+	.clken(clken),
+	.clock(clock),
+	.divider(divider_next_w3c),
+	.divider_reg(wire_srt_block_int15_divider_reg),
+	.Rk(Rk_next_w3c),
+	.Rk_next(wire_srt_block_int15_Rk_next),
+	.rom(wire_srt_block_int15_rom));
+	fpoint_hw_qsys_div_single_srt_block_int_84n   srt_block_int16
+	( 
+	.aclr(aclr),
+	.clken(clken),
+	.clock(clock),
+	.divider(divider_next_w4c),
+	.divider_reg(wire_srt_block_int16_divider_reg),
+	.Rk(Rk_next_w4c),
+	.Rk_next(wire_srt_block_int16_Rk_next),
+	.rom(wire_srt_block_int16_rom));
+	fpoint_hw_qsys_div_single_srt_block_int_84n   srt_block_int17
+	( 
+	.aclr(aclr),
+	.clken(clken),
+	.clock(clock),
+	.divider(divider_next_w5c),
+	.divider_reg(wire_srt_block_int17_divider_reg),
+	.Rk(Rk_next_w5c),
+	.Rk_next(wire_srt_block_int17_Rk_next),
+	.rom(wire_srt_block_int17_rom));
+	fpoint_hw_qsys_div_single_srt_block_int_84n   srt_block_int18
+	( 
+	.aclr(aclr),
+	.clken(clken),
+	.clock(clock),
+	.divider(divider_next_w6c),
+	.divider_reg(wire_srt_block_int18_divider_reg),
+	.Rk(Rk_next_w6c),
+	.Rk_next(wire_srt_block_int18_Rk_next),
+	.rom(wire_srt_block_int18_rom));
+	fpoint_hw_qsys_div_single_srt_block_int_84n   srt_block_int19
+	( 
+	.aclr(aclr),
+	.clken(clken),
+	.clock(clock),
+	.divider(divider_next_w7c),
+	.divider_reg(wire_srt_block_int19_divider_reg),
+	.Rk(Rk_next_w7c),
+	.Rk_next(wire_srt_block_int19_Rk_next),
+	.rom(wire_srt_block_int19_rom));
+	fpoint_hw_qsys_div_single_srt_block_int_84n   srt_block_int20
+	( 
+	.aclr(aclr),
+	.clken(clken),
+	.clock(clock),
+	.divider(divider_next_w8c),
+	.divider_reg(wire_srt_block_int20_divider_reg),
+	.Rk(Rk_next_w8c),
+	.Rk_next(wire_srt_block_int20_Rk_next),
+	.rom(wire_srt_block_int20_rom));
+	fpoint_hw_qsys_div_single_srt_block_int_84n   srt_block_int21
+	( 
+	.aclr(aclr),
+	.clken(clken),
+	.clock(clock),
+	.divider(divider_next_w9c),
+	.divider_reg(wire_srt_block_int21_divider_reg),
+	.Rk(Rk_next_w9c),
+	.Rk_next(wire_srt_block_int21_Rk_next),
+	.rom(wire_srt_block_int21_rom));
+	fpoint_hw_qsys_div_single_srt_block_int_84n   srt_block_int22
+	( 
+	.aclr(aclr),
+	.clken(clken),
+	.clock(clock),
+	.divider(divider_next_w10c),
+	.divider_reg(wire_srt_block_int22_divider_reg),
+	.Rk(Rk_next_w10c),
+	.Rk_next(wire_srt_block_int22_Rk_next),
+	.rom(wire_srt_block_int22_rom));
+	fpoint_hw_qsys_div_single_srt_block_int_84n   srt_block_int23
+	( 
+	.aclr(aclr),
+	.clken(clken),
+	.clock(clock),
+	.divider(divider_next_w11c),
+	.divider_reg(wire_srt_block_int23_divider_reg),
+	.Rk(Rk_next_w11c),
+	.Rk_next(wire_srt_block_int23_Rk_next),
+	.rom(wire_srt_block_int23_rom));
+	fpoint_hw_qsys_div_single_srt_block_int_fum   srt_block_int24
+	( 
+	.aclr(aclr),
+	.clken(clken),
+	.clock(clock),
+	.divider(divider_next_w12c),
+	.divider_reg(wire_srt_block_int24_divider_reg),
+	.Rk(Rk_next_w12c),
+	.Rk_next(wire_srt_block_int24_Rk_next),
+	.rom(wire_srt_block_int24_rom));
+	assign
+		added_remainder_w = wire_altfp_div_csa25_result,
+		divider = divider_next_special_w,
+		divider_dffe_w = wire_srt_block_int11_divider_reg,
+		divider_next_special_w = divider_next_special_dffe,
+		divider_next_w0c = divider_dffe_w,
+		divider_next_w10c = wire_srt_block_int21_divider_reg,
+		divider_next_w11c = wire_srt_block_int22_divider_reg,
+		divider_next_w12c = wire_srt_block_int23_divider_reg,
+		divider_next_w13c = wire_srt_block_int24_divider_reg,
+		divider_next_w1c = wire_srt_block_int12_divider_reg,
+		divider_next_w2c = wire_srt_block_int13_divider_reg,
+		divider_next_w3c = wire_srt_block_int14_divider_reg,
+		divider_next_w4c = wire_srt_block_int15_divider_reg,
+		divider_next_w5c = wire_srt_block_int16_divider_reg,
+		divider_next_w6c = wire_srt_block_int17_divider_reg,
+		divider_next_w7c = wire_srt_block_int18_divider_reg,
+		divider_next_w8c = wire_srt_block_int19_divider_reg,
+		divider_next_w9c = wire_srt_block_int20_divider_reg,
+		divider_w = denom,
+		full_neg_rom_w = {neg_rom_w0c, neg_rom_w1c, neg_rom_w2c, neg_rom_w3c, neg_rom_w4c, neg_rom_w5c, neg_rom_w6c, neg_rom_w7c, neg_rom_w8c, neg_rom_w9c, neg_rom_w10c, neg_rom_w11c, neg_rom_w12c, neg_rom_w13c},
+		full_pos_rom_w = {pos_rom_w0c, pos_rom_w1c, pos_rom_w2c, pos_rom_w3c, pos_rom_w4c, pos_rom_w5c, pos_rom_w6c, pos_rom_w7c, pos_rom_w8c, pos_rom_w9c, pos_rom_w10c, pos_rom_w11c, pos_rom_w12c, pos_rom_w13c},
+		mux_remainder_w = ((Rk_remainder_special_w[26] | Rk_remainder_special_w[25]) | Rk_remainder_special_w[24]),
+		neg_rom_w0c = (({2{(~ rom_mux_w[0])}} & zero_quotient_w) | ({2{rom_mux_w[0]}} & rom_dffe_w0c[1:0])),
+		neg_rom_w10c = (({2{(~ rom_mux_w[10])}} & zero_quotient_w) | ({2{rom_mux_w[10]}} & rom_dffe_w10c[1:0])),
+		neg_rom_w11c = (({2{(~ rom_mux_w[11])}} & zero_quotient_w) | ({2{rom_mux_w[11]}} & rom_dffe_w11c[1:0])),
+		neg_rom_w12c = (({2{(~ rom_mux_w[12])}} & zero_quotient_w) | ({2{rom_mux_w[12]}} & rom_dffe_w12c[1:0])),
+		neg_rom_w13c = (({2{(~ rom_mux_w[13])}} & zero_quotient_w) | ({2{rom_mux_w[13]}} & rom_dffe_w13c[1:0])),
+		neg_rom_w1c = (({2{(~ rom_mux_w[1])}} & zero_quotient_w) | ({2{rom_mux_w[1]}} & rom_dffe_w1c[1:0])),
+		neg_rom_w2c = (({2{(~ rom_mux_w[2])}} & zero_quotient_w) | ({2{rom_mux_w[2]}} & rom_dffe_w2c[1:0])),
+		neg_rom_w3c = (({2{(~ rom_mux_w[3])}} & zero_quotient_w) | ({2{rom_mux_w[3]}} & rom_dffe_w3c[1:0])),
+		neg_rom_w4c = (({2{(~ rom_mux_w[4])}} & zero_quotient_w) | ({2{rom_mux_w[4]}} & rom_dffe_w4c[1:0])),
+		neg_rom_w5c = (({2{(~ rom_mux_w[5])}} & zero_quotient_w) | ({2{rom_mux_w[5]}} & rom_dffe_w5c[1:0])),
+		neg_rom_w6c = (({2{(~ rom_mux_w[6])}} & zero_quotient_w) | ({2{rom_mux_w[6]}} & rom_dffe_w6c[1:0])),
+		neg_rom_w7c = (({2{(~ rom_mux_w[7])}} & zero_quotient_w) | ({2{rom_mux_w[7]}} & rom_dffe_w7c[1:0])),
+		neg_rom_w8c = (({2{(~ rom_mux_w[8])}} & zero_quotient_w) | ({2{rom_mux_w[8]}} & rom_dffe_w8c[1:0])),
+		neg_rom_w9c = (({2{(~ rom_mux_w[9])}} & zero_quotient_w) | ({2{rom_mux_w[9]}} & rom_dffe_w9c[1:0])),
+		pos_rom_w0c = (({2{rom_mux_w[0]}} & zero_quotient_w) | ({2{(~ rom_mux_w[0])}} & rom_dffe_w0c[1:0])),
+		pos_rom_w10c = (({2{rom_mux_w[10]}} & zero_quotient_w) | ({2{(~ rom_mux_w[10])}} & rom_dffe_w10c[1:0])),
+		pos_rom_w11c = (({2{rom_mux_w[11]}} & zero_quotient_w) | ({2{(~ rom_mux_w[11])}} & rom_dffe_w11c[1:0])),
+		pos_rom_w12c = (({2{rom_mux_w[12]}} & zero_quotient_w) | ({2{(~ rom_mux_w[12])}} & rom_dffe_w12c[1:0])),
+		pos_rom_w13c = (({2{rom_mux_w[13]}} & zero_quotient_w) | ({2{(~ rom_mux_w[13])}} & rom_dffe_w13c[1:0])),
+		pos_rom_w1c = (({2{rom_mux_w[1]}} & zero_quotient_w) | ({2{(~ rom_mux_w[1])}} & rom_dffe_w1c[1:0])),
+		pos_rom_w2c = (({2{rom_mux_w[2]}} & zero_quotient_w) | ({2{(~ rom_mux_w[2])}} & rom_dffe_w2c[1:0])),
+		pos_rom_w3c = (({2{rom_mux_w[3]}} & zero_quotient_w) | ({2{(~ rom_mux_w[3])}} & rom_dffe_w3c[1:0])),
+		pos_rom_w4c = (({2{rom_mux_w[4]}} & zero_quotient_w) | ({2{(~ rom_mux_w[4])}} & rom_dffe_w4c[1:0])),
+		pos_rom_w5c = (({2{rom_mux_w[5]}} & zero_quotient_w) | ({2{(~ rom_mux_w[5])}} & rom_dffe_w5c[1:0])),
+		pos_rom_w6c = (({2{rom_mux_w[6]}} & zero_quotient_w) | ({2{(~ rom_mux_w[6])}} & rom_dffe_w6c[1:0])),
+		pos_rom_w7c = (({2{rom_mux_w[7]}} & zero_quotient_w) | ({2{(~ rom_mux_w[7])}} & rom_dffe_w7c[1:0])),
+		pos_rom_w8c = (({2{rom_mux_w[8]}} & zero_quotient_w) | ({2{(~ rom_mux_w[8])}} & rom_dffe_w8c[1:0])),
+		pos_rom_w9c = (({2{rom_mux_w[9]}} & zero_quotient_w) | ({2{(~ rom_mux_w[9])}} & rom_dffe_w9c[1:0])),
+		quotient = true_quotient_w,
+		remain = Rk_remainder_w,
+		Rk_next0_w = wire_srt_block_int11_Rk_next,
+		Rk_next_w0c = Rk_next0_w,
+		Rk_next_w10c = wire_srt_block_int21_Rk_next,
+		Rk_next_w11c = wire_srt_block_int22_Rk_next,
+		Rk_next_w12c = wire_srt_block_int23_Rk_next,
+		Rk_next_w13c = wire_srt_block_int24_Rk_next,
+		Rk_next_w1c = wire_srt_block_int12_Rk_next,
+		Rk_next_w2c = wire_srt_block_int13_Rk_next,
+		Rk_next_w3c = wire_srt_block_int14_Rk_next,
+		Rk_next_w4c = wire_srt_block_int15_Rk_next,
+		Rk_next_w5c = wire_srt_block_int16_Rk_next,
+		Rk_next_w6c = wire_srt_block_int17_Rk_next,
+		Rk_next_w7c = wire_srt_block_int18_Rk_next,
+		Rk_next_w8c = wire_srt_block_int19_Rk_next,
+		Rk_next_w9c = wire_srt_block_int20_Rk_next,
+		Rk_remainder_special_w = Rk_remainder_special_dffe,
+		Rk_remainder_w = (({24{(~ mux_remainder_w)}} & Rk_remainder_special_w[23:0]) | ({24{mux_remainder_w}} & added_remainder_w)),
+		Rk_w = numer,
+		rom_dffe_w0c = {1'b0, rom_reg_dffe0c[49:48]},
+		rom_dffe_w10c = rom_reg_dffe10c[14:12],
+		rom_dffe_w11c = rom_reg_dffe11c[8:6],
+		rom_dffe_w12c = rom_reg_dffe12c[2:0],
+		rom_dffe_w13c = rom_out_w13c,
+		rom_dffe_w1c = rom_reg_dffe1c[68:66],
+		rom_dffe_w2c = rom_reg_dffe2c[62:60],
+		rom_dffe_w3c = rom_reg_dffe3c[56:54],
+		rom_dffe_w4c = rom_reg_dffe4c[50:48],
+		rom_dffe_w5c = rom_reg_dffe5c[44:42],
+		rom_dffe_w6c = rom_reg_dffe6c[38:36],
+		rom_dffe_w7c = rom_reg_dffe7c[32:30],
+		rom_dffe_w8c = rom_reg_dffe8c[26:24],
+		rom_dffe_w9c = rom_reg_dffe9c[20:18],
+		rom_mux_w = {rom_dffe_w13c[2], rom_dffe_w12c[2], rom_dffe_w11c[2], rom_dffe_w10c[2], rom_dffe_w9c[2], rom_dffe_w8c[2], rom_dffe_w7c[2], rom_dffe_w6c[2], rom_dffe_w5c[2], rom_dffe_w4c[2], rom_dffe_w3c[2], rom_dffe_w2c[2], rom_dffe_w1c[2], rom_dffe_w0c[2]},
+		rom_out_1a_w = wire_srt_block_int11_rom,
+		rom_out_w0c = rom_out_1a_w,
+		rom_out_w10c = wire_srt_block_int21_rom,
+		rom_out_w11c = wire_srt_block_int22_rom,
+		rom_out_w12c = wire_srt_block_int23_rom,
+		rom_out_w13c = wire_srt_block_int24_rom,
+		rom_out_w1c = wire_srt_block_int12_rom,
+		rom_out_w2c = wire_srt_block_int13_rom,
+		rom_out_w3c = wire_srt_block_int14_rom,
+		rom_out_w4c = wire_srt_block_int15_rom,
+		rom_out_w5c = wire_srt_block_int16_rom,
+		rom_out_w6c = wire_srt_block_int17_rom,
+		rom_out_w7c = wire_srt_block_int18_rom,
+		rom_out_w8c = wire_srt_block_int19_rom,
+		rom_out_w9c = wire_srt_block_int20_rom,
+		srt_adjust_w = wire_altfp_div_csa26_result,
+		srt_adjusted_w = wire_altfp_div_csa27_result,
+		true_quotient_w = (({28{(~ mux_remainder_w)}} & srt_adjust_w) | ({28{mux_remainder_w}} & srt_adjusted_w)),
+		value_one_w = 28'b0000000000000000000000000001,
+		zero_quotient_w = {2{1'b0}};
+endmodule //fpoint_hw_qsys_div_single_altfp_div_srt_ext_5mh
+
+//synthesis_resources = lpm_add_sub 181 lpm_compare 62 lpm_mux 28 reg 4070 
+//synopsys translate_off
+`timescale 1 ps / 1 ps
+//synopsys translate_on
+module  fpoint_hw_qsys_div_single
+	( 
+	aclr,
+	clk_en,
+	clock,
+	dataa,
+	datab,
+	result) /* synthesis synthesis_clearbox=1 */;
+	input   aclr;
+	input   clk_en;
+	input   clock;
+	input   [31:0]  dataa;
+	input   [31:0]  datab;
+	output   [31:0]  result;
+`ifndef ALTERA_RESERVED_QIS
+// synopsys translate_off
+`endif
+	tri0   aclr;
+	tri1   clk_en;
+`ifndef ALTERA_RESERVED_QIS
+// synopsys translate_on
+`endif
+
+	wire  wire_altfp_div_csa8_cout;
+	wire  [23:0]   wire_altfp_div_csa8_result;
+	wire  [23:0]   wire_altfp_div_srt_ext1_divider;
+	wire  [27:0]   wire_altfp_div_srt_ext1_quotient;
+	wire  [23:0]   wire_altfp_div_srt_ext1_remain;
+	reg	[7:0]	and_or_dffe;
+	reg	[7:0]	and_or_dffe1a;
+	reg	[7:0]	and_or_dffe3a;
+	reg	[7:0]	and_or_pipeline0c;
+	reg	[7:0]	and_or_pipeline10c;
+	reg	[7:0]	and_or_pipeline11c;
+	reg	[7:0]	and_or_pipeline12c;
+	reg	[7:0]	and_or_pipeline13c;
+	reg	[7:0]	and_or_pipeline14c;
+	reg	[7:0]	and_or_pipeline15c;
+	reg	[7:0]	and_or_pipeline16c;
+	reg	[7:0]	and_or_pipeline17c;
+	reg	[7:0]	and_or_pipeline18c;
+	reg	[7:0]	and_or_pipeline19c;
+	reg	[7:0]	and_or_pipeline1c;
+	reg	[7:0]	and_or_pipeline20c;
+	reg	[7:0]	and_or_pipeline21c;
+	reg	[7:0]	and_or_pipeline22c;
+	reg	[7:0]	and_or_pipeline23c;
+	reg	[7:0]	and_or_pipeline24c;
+	reg	[7:0]	and_or_pipeline25c;
+	reg	[7:0]	and_or_pipeline26c;
+	reg	[7:0]	and_or_pipeline27c;
+	reg	[7:0]	and_or_pipeline2c;
+	reg	[7:0]	and_or_pipeline3c;
+	reg	[7:0]	and_or_pipeline4c;
+	reg	[7:0]	and_or_pipeline5c;
+	reg	[7:0]	and_or_pipeline6c;
+	reg	[7:0]	and_or_pipeline7c;
+	reg	[7:0]	and_or_pipeline8c;
+	reg	[7:0]	and_or_pipeline9c;
+	reg	bias_addition_overf_dffe;
+	reg	[23:0]	divider_pipe1a;
+	reg	exp_a_and_dffe;
+	reg	exp_a_b_dffe;
+	reg	[7:0]	exp_a_dffe;
+	reg	exp_a_or_dffe;
+	reg	exp_b_and_dffe;
+	reg	[7:0]	exp_b_dffe;
+	reg	exp_b_or_dffe;
+	reg	[8:0]	exp_dffe1a;
+	reg	[8:0]	exp_dffe2a;
+	reg	[8:0]	exp_pipeline0c;
+	reg	[8:0]	exp_pipeline10c;
+	reg	[8:0]	exp_pipeline11c;
+	reg	[8:0]	exp_pipeline12c;
+	reg	[8:0]	exp_pipeline13c;
+	reg	[8:0]	exp_pipeline14c;
+	reg	[8:0]	exp_pipeline15c;
+	reg	[8:0]	exp_pipeline16c;
+	reg	[8:0]	exp_pipeline17c;
+	reg	[8:0]	exp_pipeline18c;
+	reg	[8:0]	exp_pipeline19c;
+	reg	[8:0]	exp_pipeline1c;
+	reg	[8:0]	exp_pipeline20c;
+	reg	[8:0]	exp_pipeline21c;
+	reg	[8:0]	exp_pipeline22c;
+	reg	[8:0]	exp_pipeline23c;
+	reg	[8:0]	exp_pipeline24c;
+	reg	[8:0]	exp_pipeline25c;
+	reg	[8:0]	exp_pipeline26c;
+	reg	[8:0]	exp_pipeline2c;
+	reg	[8:0]	exp_pipeline3c;
+	reg	[8:0]	exp_pipeline4c;
+	reg	[8:0]	exp_pipeline5c;
+	reg	[8:0]	exp_pipeline6c;
+	reg	[8:0]	exp_pipeline7c;
+	reg	[8:0]	exp_pipeline8c;
+	reg	[8:0]	exp_pipeline9c;
+	reg	[7:0]	exp_res_pipe3;
+	reg	implied_bit;
+	reg	implied_bit2a;
+	reg	man_a_and_dffe;
+	reg	[22:0]	man_a_dffe;
+	reg	man_a_or_dffe;
+	reg	man_b_and_dffe;
+	reg	[22:0]	man_b_dffe;
+	reg	man_b_or_dffe;
+	reg	[23:0]	man_res_pipe3;
+	reg	[27:0]	quotient_pipe1a;
+	reg	[23:0]	remainder_pipe1a;
+	reg	[31:0]	result_output_dffe;
+	reg	rnd_overflow_dffe;
+	reg	[23:0]	rnded_man_pipe2a;
+	reg	sign_a_dffe;
+	reg	sign_b_dffe;
+	reg	sign_div_pipeline0c;
+	reg	sign_div_pipeline10c;
+	reg	sign_div_pipeline11c;
+	reg	sign_div_pipeline12c;
+	reg	sign_div_pipeline13c;
+	reg	sign_div_pipeline14c;
+	reg	sign_div_pipeline15c;
+	reg	sign_div_pipeline16c;
+	reg	sign_div_pipeline17c;
+	reg	sign_div_pipeline18c;
+	reg	sign_div_pipeline19c;
+	reg	sign_div_pipeline1c;
+	reg	sign_div_pipeline20c;
+	reg	sign_div_pipeline21c;
+	reg	sign_div_pipeline22c;
+	reg	sign_div_pipeline23c;
+	reg	sign_div_pipeline24c;
+	reg	sign_div_pipeline25c;
+	reg	sign_div_pipeline26c;
+	reg	sign_div_pipeline27c;
+	reg	sign_div_pipeline2c;
+	reg	sign_div_pipeline3c;
+	reg	sign_div_pipeline4c;
+	reg	sign_div_pipeline5c;
+	reg	sign_div_pipeline6c;
+	reg	sign_div_pipeline7c;
+	reg	sign_div_pipeline8c;
+	reg	sign_div_pipeline9c;
+	reg	sign_pipe1a;
+	reg	sign_pipe2a;
+	reg	sign_pipe3a;
+	wire  wire_add_sub10_overflow;
+	wire  [8:0]   wire_add_sub10_result;
+	wire  [8:0]   wire_add_sub9_result;
+	wire  wire_cmpr2_aeb;
+	wire  wire_cmpr2_agb;
+	wire  wire_cmpr3_aeb;
+	wire  wire_cmpr3_agb;
+	wire  wire_cmpr4_aeb;
+	wire  wire_cmpr4_agb;
+	wire  wire_cmpr5_ageb;
+	wire  wire_cmpr6_aeb;
+	wire  wire_cmpr6_agb;
+	wire  wire_cmpr7_ageb;
+	wire  [23:0]  add_1_dataa_w;
+	wire  [23:0]  add_1_datab_w;
+	wire  add_1_w;
+	wire  [23:0]  add_one_process_w;
+	wire  [7:0]  and_or_dffe1a_w;
+	wire  [7:0]  and_or_dffe3a_w;
+	wire  [7:0]  and_or_dffe_w;
+	wire  [7:0]  and_or_int_w;
+	wire  [7:0]  and_or_pipeline_w;
+	wire  [8:0]  bias_add_w;
+	wire  bias_addition_overf_w;
+	wire  [7:0]  bias_addition_w;
+	wire  [8:0]  bias_value_w;
+	wire  [23:0]  checked_quotient_dffe1a_w;
+	wire  [23:0]  checked_quotient_w;
+	wire  [7:0]  dataa_exp_bus_w;
+	wire  [31:0]  dataa_int;
+	wire  [22:0]  dataa_man_bus_w;
+	wire  dataa_S0;
+	wire  [7:0]  datab_exp_bus_w;
+	wire  [31:0]  datab_int;
+	wire  [22:0]  datab_man_bus_w;
+	wire  datab_S0;
+	wire  [23:0]  divider_srt_w;
+	wire  exp_a_and_msb2_w;
+	wire  exp_a_and_msb_w;
+	wire  exp_a_and_mux_w;
+	wire  [7:0]  exp_a_and_w;
+	wire  exp_a_b_w;
+	wire  [7:0]  exp_a_bus_w;
+	wire  exp_a_non_zero_w;
+	wire  exp_a_one_w;
+	wire  exp_a_or_msb2_w;
+	wire  exp_a_or_msb_w;
+	wire  exp_a_or_mux_w;
+	wire  [7:0]  exp_a_or_w;
+	wire  [7:0]  exp_a_w;
+	wire  exp_a_zero_w;
+	wire  exp_b_and_msb2_w;
+	wire  exp_b_and_msb_w;
+	wire  exp_b_and_mux_w;
+	wire  [7:0]  exp_b_and_w;
+	wire  [7:0]  exp_b_bus_w;
+	wire  exp_b_non_zero_w;
+	wire  exp_b_one_w;
+	wire  exp_b_or_msb2_w;
+	wire  exp_b_or_msb_w;
+	wire  exp_b_or_mux_w;
+	wire  [7:0]  exp_b_or_w;
+	wire  [7:0]  exp_b_w;
+	wire  exp_b_zero_w;
+	wire  exp_bias_and_res_w;
+	wire  [7:0]  exp_bias_and_w;
+	wire  [7:0]  exp_bias_bus_w;
+	wire  [8:0]  exp_dffe1a_w;
+	wire  [8:0]  exp_dffe2a_w;
+	wire  [7:0]  exp_exc_ones_w;
+	wire  [7:0]  exp_exc_zeros_w;
+	wire  [1:0]  exp_higher_bit;
+	wire  [1:0]  exp_higher_or;
+	wire  exp_infi_bus_w;
+	wire  [7:0]  exp_man_and_or_w;
+	wire  exp_or_result_w;
+	wire  [8:0]  exp_pipeline_w;
+	wire  [7:0]  exp_res_and_w;
+	wire  [7:0]  exp_res_bus_w;
+	wire  [7:0]  exp_res_int2_bus_w;
+	wire  [7:0]  exp_res_int2_or_w;
+	wire  [7:0]  exp_res_int2_w;
+	wire  [7:0]  exp_res_int_w;
+	wire  [7:0]  exp_res_w;
+	wire  exp_sign_w;
+	wire  [8:0]  exp_sub_a_w;
+	wire  [8:0]  exp_sub_b_w;
+	wire  [8:0]  exp_sub_w;
+	wire  exp_zero_bus_w;
+	wire  guard_bit_dffe1a_w;
+	wire  guard_bit_quo_msb_m1;
+	wire  guard_bit_quo_msb_m2;
+	wire  guard_bit_w;
+	wire  infi_combi_w;
+	wire  infi_dataa_w;
+	wire  infi_datab_w;
+	wire  [31:0]  infi_res_w;
+	wire  infinite_int_w;
+	wire  infinite_w;
+	wire  [23:0]  man_24_zeros_w;
+	wire  man_a_and_msb2_w;
+	wire  man_a_and_msb_w;
+	wire  man_a_and_mux_w;
+	wire  [22:0]  man_a_and_w;
+	wire  [22:0]  man_a_bus_w;
+	wire  [22:0]  man_a_int_w;
+	wire  man_a_non_zero_w;
+	wire  man_a_one_w;
+	wire  man_a_or_msb2_w;
+	wire  man_a_or_msb_w;
+	wire  man_a_or_mux_w;
+	wire  [22:0]  man_a_or_w;
+	wire  [23:0]  man_a_w;
+	wire  man_a_zero_w;
+	wire  man_b_and_msb2_w;
+	wire  man_b_and_msb_w;
+	wire  man_b_and_mux_w;
+	wire  [22:0]  man_b_and_w;
+	wire  [22:0]  man_b_bus_w;
+	wire  [22:0]  man_b_int_w;
+	wire  man_b_non_zero_w;
+	wire  man_b_one_w;
+	wire  man_b_or_msb2_w;
+	wire  man_b_or_msb_w;
+	wire  man_b_or_mux_w;
+	wire  [22:0]  man_b_or_w;
+	wire  [23:0]  man_b_w;
+	wire  man_b_zero_w;
+	wire  [22:0]  man_exc_nan_w;
+	wire  [22:0]  man_exc_zeros_w;
+	wire  [22:0]  man_res_bus_w;
+	wire  [23:0]  man_res_int2_w;
+	wire  [23:0]  man_res_int_w;
+	wire  [22:0]  man_res_or_w;
+	wire  [23:0]  man_res_w;
+	wire  mux1_exp_s0a;
+	wire  mux1_exp_s1a;
+	wire  [31:0]  mux_1_res_w;
+	wire  [31:0]  mux_2_res_w;
+	wire  [31:0]  mux_3_res_w;
+	wire  mux_zero_non_zero_S0;
+	wire  [23:0]  mux_zero_non_zero_w;
+	wire  nan_dataa_w;
+	wire  nan_datab_w;
+	wire  [31:0]  nan_res_w;
+	wire  nan_w;
+	wire  norm_dataa_w;
+	wire  norm_datab_w;
+	wire  [7:0]  norm_infi_and_w;
+	wire  [7:0]  norm_infi_bus_w;
+	wire  [31:0]  norm_res_int_w;
+	wire  [7:0]  norm_zero_bus_w;
+	wire  [7:0]  norm_zero_or_w;
+	wire  [7:0]  not_bias_addition_w;
+	wire  not_exp_res_int2_or_res_w;
+	wire  overflow_int_w;
+	wire  [23:0]  overflow_man_w;
+	wire  [7:0]  overflow_ones_w;
+	wire  [52:0]  quo_msb_m1_compare_dataa;
+	wire  [52:0]  quo_msb_m1_compare_datab;
+	wire  quo_msb_m1_compare_w;
+	wire  quo_msb_m1_w;
+	wire  [53:0]  quo_msb_m2_compare_dataa;
+	wire  [53:0]  quo_msb_m2_compare_datab;
+	wire  quo_msb_m2_compare_w;
+	wire  [23:0]  quotient_msb_m1_w;
+	wire  [23:0]  quotient_msb_m2_w;
+	wire  [27:0]  quotient_w;
+	wire  [23:0]  remainder_srt_w;
+	wire  [23:0]  res_rnded_man_w;
+	wire  rnd_add_overf_w;
+	wire  rnd_overflow;
+	wire  [23:0]  rnded_man_w;
+	wire  round_bit_dffe1a_w;
+	wire  round_bit_quo_msb_m1;
+	wire  round_bit_quo_msb_m2;
+	wire  round_bit_w;
+	wire  sign_a_w;
+	wire  sign_b_w;
+	wire  sign_div;
+	wire  sign_div_pipeline_w;
+	wire  sign_exc_bit_w;
+	wire  signed_N_exp_h_or;
+	wire  sticky_bit_dffe1a_w;
+	wire  sticky_bit_quo_msb_m1;
+	wire  [1:0]  sticky_bit_quo_msb_m1_bit;
+	wire  [1:0]  sticky_bit_quo_msb_m1_or;
+	wire  [1:0]  sticky_bit_quo_msb_m1_tmp;
+	wire  sticky_bit_quo_msb_m2;
+	wire  [0:0]  sticky_bit_quo_msb_m2_bit;
+	wire  [0:0]  sticky_bit_quo_msb_m2_or;
+	wire  [0:0]  sticky_bit_quo_msb_m2_tmp;
+	wire  sticky_bit_w;
+	wire  sticky_quo_msb_m1_comparator_lower_lower_ageb_w;
+	wire  sticky_quo_msb_m1_comparator_lower_upper_aeb_w;
+	wire  sticky_quo_msb_m1_comparator_lower_upper_agb_w;
+	wire  sticky_quo_msb_m1_comparator_upper_lower_aeb_w;
+	wire  sticky_quo_msb_m1_comparator_upper_lower_agb_w;
+	wire  sticky_quo_msb_m1_comparator_upper_upper_aeb_w;
+	wire  sticky_quo_msb_m1_comparator_upper_upper_agb_w;
+	wire  sticky_quo_msb_m2_comparator_lower_ageb_w;
+	wire  sticky_quo_msb_m2_comparator_upper_aeb_w;
+	wire  sticky_quo_msb_m2_comparator_upper_agb_w;
+	wire  [7:0]  underflow_zeros_w;
+	wire  [8:0]  value_add_1_w;
+	wire  [8:0]  value_minus_1_w;
+	wire  [8:0]  value_normal_w;
+	wire  [8:0]  value_zero_w;
+	wire  [23:0]  zero_bit_23_w;
+	wire  [30:0]  zero_bit_31_w;
+	wire  [7:0]  zero_bit_8_w;
+	wire  zero_bit_w;
+	wire  zero_dataa_w;
+	wire  zero_datab_w;
+	wire  [31:0]  zero_res_w;
+	wire  zero_w;
+
+	fpoint_hw_qsys_div_single_altfp_div_csa_vhf   altfp_div_csa8
+	( 
+	.cin(add_1_w),
+	.cout(wire_altfp_div_csa8_cout),
+	.dataa(add_1_dataa_w),
+	.datab(add_1_datab_w),
+	.result(wire_altfp_div_csa8_result));
+	fpoint_hw_qsys_div_single_altfp_div_srt_ext_5mh   altfp_div_srt_ext1
+	( 
+	.aclr(aclr),
+	.clken(clk_en),
+	.clock(clock),
+	.denom(man_b_w),
+	.divider(wire_altfp_div_srt_ext1_divider),
+	.numer(man_a_w),
+	.quotient(wire_altfp_div_srt_ext1_quotient),
+	.remain(wire_altfp_div_srt_ext1_remain));
+	// synopsys translate_off
+	initial
+		and_or_dffe = 0;
+	// synopsys translate_on
+	always @ ( posedge clock or  posedge aclr)
+		if (aclr == 1'b1) and_or_dffe <= 8'b0;
+		else if  (clk_en == 1'b1)   and_or_dffe <= exp_man_and_or_w;
+	// synopsys translate_off
+	initial
+		and_or_dffe1a = 0;
+	// synopsys translate_on
+	always @ ( posedge clock or  posedge aclr)
+		if (aclr == 1'b1) and_or_dffe1a <= 8'b0;
+		else if  (clk_en == 1'b1)   and_or_dffe1a <= and_or_pipeline_w;
+	// synopsys translate_off
+	initial
+		and_or_dffe3a = 0;
+	// synopsys translate_on
+	always @ ( posedge clock or  posedge aclr)
+		if (aclr == 1'b1) and_or_dffe3a <= 8'b0;
+		else if  (clk_en == 1'b1)   and_or_dffe3a <= and_or_int_w;
+	// synopsys translate_off
+	initial
+		and_or_pipeline0c = 0;
+	// synopsys translate_on
+	always @ ( posedge clock or  posedge aclr)
+		if (aclr == 1'b1) and_or_pipeline0c <= 8'b0;
+		else if  (clk_en == 1'b1)   and_or_pipeline0c <= and_or_dffe_w;
+	// synopsys translate_off
+	initial
+		and_or_pipeline10c = 0;
+	// synopsys translate_on
+	always @ ( posedge clock or  posedge aclr)
+		if (aclr == 1'b1) and_or_pipeline10c <= 8'b0;
+		else if  (clk_en == 1'b1)   and_or_pipeline10c <= and_or_pipeline9c;
+	// synopsys translate_off
+	initial
+		and_or_pipeline11c = 0;
+	// synopsys translate_on
+	always @ ( posedge clock or  posedge aclr)
+		if (aclr == 1'b1) and_or_pipeline11c <= 8'b0;
+		else if  (clk_en == 1'b1)   and_or_pipeline11c <= and_or_pipeline10c;
+	// synopsys translate_off
+	initial
+		and_or_pipeline12c = 0;
+	// synopsys translate_on
+	always @ ( posedge clock or  posedge aclr)
+		if (aclr == 1'b1) and_or_pipeline12c <= 8'b0;
+		else if  (clk_en == 1'b1)   and_or_pipeline12c <= and_or_pipeline11c;
+	// synopsys translate_off
+	initial
+		and_or_pipeline13c = 0;
+	// synopsys translate_on
+	always @ ( posedge clock or  posedge aclr)
+		if (aclr == 1'b1) and_or_pipeline13c <= 8'b0;
+		else if  (clk_en == 1'b1)   and_or_pipeline13c <= and_or_pipeline12c;
+	// synopsys translate_off
+	initial
+		and_or_pipeline14c = 0;
+	// synopsys translate_on
+	always @ ( posedge clock or  posedge aclr)
+		if (aclr == 1'b1) and_or_pipeline14c <= 8'b0;
+		else if  (clk_en == 1'b1)   and_or_pipeline14c <= and_or_pipeline13c;
+	// synopsys translate_off
+	initial
+		and_or_pipeline15c = 0;
+	// synopsys translate_on
+	always @ ( posedge clock or  posedge aclr)
+		if (aclr == 1'b1) and_or_pipeline15c <= 8'b0;
+		else if  (clk_en == 1'b1)   and_or_pipeline15c <= and_or_pipeline14c;
+	// synopsys translate_off
+	initial
+		and_or_pipeline16c = 0;
+	// synopsys translate_on
+	always @ ( posedge clock or  posedge aclr)
+		if (aclr == 1'b1) and_or_pipeline16c <= 8'b0;
+		else if  (clk_en == 1'b1)   and_or_pipeline16c <= and_or_pipeline15c;
+	// synopsys translate_off
+	initial
+		and_or_pipeline17c = 0;
+	// synopsys translate_on
+	always @ ( posedge clock or  posedge aclr)
+		if (aclr == 1'b1) and_or_pipeline17c <= 8'b0;
+		else if  (clk_en == 1'b1)   and_or_pipeline17c <= and_or_pipeline16c;
+	// synopsys translate_off
+	initial
+		and_or_pipeline18c = 0;
+	// synopsys translate_on
+	always @ ( posedge clock or  posedge aclr)
+		if (aclr == 1'b1) and_or_pipeline18c <= 8'b0;
+		else if  (clk_en == 1'b1)   and_or_pipeline18c <= and_or_pipeline17c;
+	// synopsys translate_off
+	initial
+		and_or_pipeline19c = 0;
+	// synopsys translate_on
+	always @ ( posedge clock or  posedge aclr)
+		if (aclr == 1'b1) and_or_pipeline19c <= 8'b0;
+		else if  (clk_en == 1'b1)   and_or_pipeline19c <= and_or_pipeline18c;
+	// synopsys translate_off
+	initial
+		and_or_pipeline1c = 0;
+	// synopsys translate_on
+	always @ ( posedge clock or  posedge aclr)
+		if (aclr == 1'b1) and_or_pipeline1c <= 8'b0;
+		else if  (clk_en == 1'b1)   and_or_pipeline1c <= and_or_pipeline0c;
+	// synopsys translate_off
+	initial
+		and_or_pipeline20c = 0;
+	// synopsys translate_on
+	always @ ( posedge clock or  posedge aclr)
+		if (aclr == 1'b1) and_or_pipeline20c <= 8'b0;
+		else if  (clk_en == 1'b1)   and_or_pipeline20c <= and_or_pipeline19c;
+	// synopsys translate_off
+	initial
+		and_or_pipeline21c = 0;
+	// synopsys translate_on
+	always @ ( posedge clock or  posedge aclr)
+		if (aclr == 1'b1) and_or_pipeline21c <= 8'b0;
+		else if  (clk_en == 1'b1)   and_or_pipeline21c <= and_or_pipeline20c;
+	// synopsys translate_off
+	initial
+		and_or_pipeline22c = 0;
+	// synopsys translate_on
+	always @ ( posedge clock or  posedge aclr)
+		if (aclr == 1'b1) and_or_pipeline22c <= 8'b0;
+		else if  (clk_en == 1'b1)   and_or_pipeline22c <= and_or_pipeline21c;
+	// synopsys translate_off
+	initial
+		and_or_pipeline23c = 0;
+	// synopsys translate_on
+	always @ ( posedge clock or  posedge aclr)
+		if (aclr == 1'b1) and_or_pipeline23c <= 8'b0;
+		else if  (clk_en == 1'b1)   and_or_pipeline23c <= and_or_pipeline22c;
+	// synopsys translate_off
+	initial
+		and_or_pipeline24c = 0;
+	// synopsys translate_on
+	always @ ( posedge clock or  posedge aclr)
+		if (aclr == 1'b1) and_or_pipeline24c <= 8'b0;
+		else if  (clk_en == 1'b1)   and_or_pipeline24c <= and_or_pipeline23c;
+	// synopsys translate_off
+	initial
+		and_or_pipeline25c = 0;
+	// synopsys translate_on
+	always @ ( posedge clock or  posedge aclr)
+		if (aclr == 1'b1) and_or_pipeline25c <= 8'b0;
+		else if  (clk_en == 1'b1)   and_or_pipeline25c <= and_or_pipeline24c;
+	// synopsys translate_off
+	initial
+		and_or_pipeline26c = 0;
+	// synopsys translate_on
+	always @ ( posedge clock or  posedge aclr)
+		if (aclr == 1'b1) and_or_pipeline26c <= 8'b0;
+		else if  (clk_en == 1'b1)   and_or_pipeline26c <= and_or_pipeline25c;
+	// synopsys translate_off
+	initial
+		and_or_pipeline27c = 0;
+	// synopsys translate_on
+	always @ ( posedge clock or  posedge aclr)
+		if (aclr == 1'b1) and_or_pipeline27c <= 8'b0;
+		else if  (clk_en == 1'b1)   and_or_pipeline27c <= and_or_pipeline26c;
+	// synopsys translate_off
+	initial
+		and_or_pipeline2c = 0;
+	// synopsys translate_on
+	always @ ( posedge clock or  posedge aclr)
+		if (aclr == 1'b1) and_or_pipeline2c <= 8'b0;
+		else if  (clk_en == 1'b1)   and_or_pipeline2c <= and_or_pipeline1c;
+	// synopsys translate_off
+	initial
+		and_or_pipeline3c = 0;
+	// synopsys translate_on
+	always @ ( posedge clock or  posedge aclr)
+		if (aclr == 1'b1) and_or_pipeline3c <= 8'b0;
+		else if  (clk_en == 1'b1)   and_or_pipeline3c <= and_or_pipeline2c;
+	// synopsys translate_off
+	initial
+		and_or_pipeline4c = 0;
+	// synopsys translate_on
+	always @ ( posedge clock or  posedge aclr)
+		if (aclr == 1'b1) and_or_pipeline4c <= 8'b0;
+		else if  (clk_en == 1'b1)   and_or_pipeline4c <= and_or_pipeline3c;
+	// synopsys translate_off
+	initial
+		and_or_pipeline5c = 0;
+	// synopsys translate_on
+	always @ ( posedge clock or  posedge aclr)
+		if (aclr == 1'b1) and_or_pipeline5c <= 8'b0;
+		else if  (clk_en == 1'b1)   and_or_pipeline5c <= and_or_pipeline4c;
+	// synopsys translate_off
+	initial
+		and_or_pipeline6c = 0;
+	// synopsys translate_on
+	always @ ( posedge clock or  posedge aclr)
+		if (aclr == 1'b1) and_or_pipeline6c <= 8'b0;
+		else if  (clk_en == 1'b1)   and_or_pipeline6c <= and_or_pipeline5c;
+	// synopsys translate_off
+	initial
+		and_or_pipeline7c = 0;
+	// synopsys translate_on
+	always @ ( posedge clock or  posedge aclr)
+		if (aclr == 1'b1) and_or_pipeline7c <= 8'b0;
+		else if  (clk_en == 1'b1)   and_or_pipeline7c <= and_or_pipeline6c;
+	// synopsys translate_off
+	initial
+		and_or_pipeline8c = 0;
+	// synopsys translate_on
+	always @ ( posedge clock or  posedge aclr)
+		if (aclr == 1'b1) and_or_pipeline8c <= 8'b0;
+		else if  (clk_en == 1'b1)   and_or_pipeline8c <= and_or_pipeline7c;
+	// synopsys translate_off
+	initial
+		and_or_pipeline9c = 0;
+	// synopsys translate_on
+	always @ ( posedge clock or  posedge aclr)
+		if (aclr == 1'b1) and_or_pipeline9c <= 8'b0;
+		else if  (clk_en == 1'b1)   and_or_pipeline9c <= and_or_pipeline8c;
+	// synopsys translate_off
+	initial
+		bias_addition_overf_dffe = 0;
+	// synopsys translate_on
+	always @ ( posedge clock or  posedge aclr)
+		if (aclr == 1'b1) bias_addition_overf_dffe <= 1'b0;
+		else if  (clk_en == 1'b1)   bias_addition_overf_dffe <= bias_addition_overf_w;
+	// synopsys translate_off
+	initial
+		divider_pipe1a = 0;
+	// synopsys translate_on
+	always @ ( posedge clock or  posedge aclr)
+		if (aclr == 1'b1) divider_pipe1a <= 24'b0;
+		else if  (clk_en == 1'b1)   divider_pipe1a <= wire_altfp_div_srt_ext1_divider;
+	// synopsys translate_off
+	initial
+		exp_a_and_dffe = 0;
+	// synopsys translate_on
+	always @ ( posedge clock or  posedge aclr)
+		if (aclr == 1'b1) exp_a_and_dffe <= 1'b0;
+		else if  (clk_en == 1'b1)   exp_a_and_dffe <= exp_a_and_msb2_w;
+	// synopsys translate_off
+	initial
+		exp_a_b_dffe = 0;
+	// synopsys translate_on
+	always @ ( posedge clock or  posedge aclr)
+		if (aclr == 1'b1) exp_a_b_dffe <= 1'b0;
+		else if  (clk_en == 1'b1)   exp_a_b_dffe <= exp_or_result_w;
+	// synopsys translate_off
+	initial
+		exp_a_dffe = 0;
+	// synopsys translate_on
+	always @ ( posedge clock or  posedge aclr)
+		if (aclr == 1'b1) exp_a_dffe <= 8'b0;
+		else if  (clk_en == 1'b1)   exp_a_dffe <= dataa_int[30:23];
+	// synopsys translate_off
+	initial
+		exp_a_or_dffe = 0;
+	// synopsys translate_on
+	always @ ( posedge clock or  posedge aclr)
+		if (aclr == 1'b1) exp_a_or_dffe <= 1'b0;
+		else if  (clk_en == 1'b1)   exp_a_or_dffe <= exp_a_or_msb2_w;
+	// synopsys translate_off
+	initial
+		exp_b_and_dffe = 0;
+	// synopsys translate_on
+	always @ ( posedge clock or  posedge aclr)
+		if (aclr == 1'b1) exp_b_and_dffe <= 1'b0;
+		else if  (clk_en == 1'b1)   exp_b_and_dffe <= exp_b_and_msb2_w;
+	// synopsys translate_off
+	initial
+		exp_b_dffe = 0;
+	// synopsys translate_on
+	always @ ( posedge clock or  posedge aclr)
+		if (aclr == 1'b1) exp_b_dffe <= 8'b0;
+		else if  (clk_en == 1'b1)   exp_b_dffe <= datab_int[30:23];
+	// synopsys translate_off
+	initial
+		exp_b_or_dffe = 0;
+	// synopsys translate_on
+	always @ ( posedge clock or  posedge aclr)
+		if (aclr == 1'b1) exp_b_or_dffe <= 1'b0;
+		else if  (clk_en == 1'b1)   exp_b_or_dffe <= exp_b_or_msb2_w;
+	// synopsys translate_off
+	initial
+		exp_dffe1a = 0;
+	// synopsys translate_on
+	always @ ( posedge clock or  posedge aclr)
+		if (aclr == 1'b1) exp_dffe1a <= 9'b0;
+		else if  (clk_en == 1'b1)   exp_dffe1a <= exp_pipeline_w;
+	// synopsys translate_off
+	initial
+		exp_dffe2a = 0;
+	// synopsys translate_on
+	always @ ( posedge clock or  posedge aclr)
+		if (aclr == 1'b1) exp_dffe2a <= 9'b0;
+		else if  (clk_en == 1'b1)   exp_dffe2a <= exp_dffe1a_w;
+	// synopsys translate_off
+	initial
+		exp_pipeline0c = 0;
+	// synopsys translate_on
+	always @ ( posedge clock or  posedge aclr)
+		if (aclr == 1'b1) exp_pipeline0c <= 9'b0;
+		else if  (clk_en == 1'b1)   exp_pipeline0c <= exp_sub_w;
+	// synopsys translate_off
+	initial
+		exp_pipeline10c = 0;
+	// synopsys translate_on
+	always @ ( posedge clock or  posedge aclr)
+		if (aclr == 1'b1) exp_pipeline10c <= 9'b0;
+		else if  (clk_en == 1'b1)   exp_pipeline10c <= exp_pipeline9c;
+	// synopsys translate_off
+	initial
+		exp_pipeline11c = 0;
+	// synopsys translate_on
+	always @ ( posedge clock or  posedge aclr)
+		if (aclr == 1'b1) exp_pipeline11c <= 9'b0;
+		else if  (clk_en == 1'b1)   exp_pipeline11c <= exp_pipeline10c;
+	// synopsys translate_off
+	initial
+		exp_pipeline12c = 0;
+	// synopsys translate_on
+	always @ ( posedge clock or  posedge aclr)
+		if (aclr == 1'b1) exp_pipeline12c <= 9'b0;
+		else if  (clk_en == 1'b1)   exp_pipeline12c <= exp_pipeline11c;
+	// synopsys translate_off
+	initial
+		exp_pipeline13c = 0;
+	// synopsys translate_on
+	always @ ( posedge clock or  posedge aclr)
+		if (aclr == 1'b1) exp_pipeline13c <= 9'b0;
+		else if  (clk_en == 1'b1)   exp_pipeline13c <= exp_pipeline12c;
+	// synopsys translate_off
+	initial
+		exp_pipeline14c = 0;
+	// synopsys translate_on
+	always @ ( posedge clock or  posedge aclr)
+		if (aclr == 1'b1) exp_pipeline14c <= 9'b0;
+		else if  (clk_en == 1'b1)   exp_pipeline14c <= exp_pipeline13c;
+	// synopsys translate_off
+	initial
+		exp_pipeline15c = 0;
+	// synopsys translate_on
+	always @ ( posedge clock or  posedge aclr)
+		if (aclr == 1'b1) exp_pipeline15c <= 9'b0;
+		else if  (clk_en == 1'b1)   exp_pipeline15c <= exp_pipeline14c;
+	// synopsys translate_off
+	initial
+		exp_pipeline16c = 0;
+	// synopsys translate_on
+	always @ ( posedge clock or  posedge aclr)
+		if (aclr == 1'b1) exp_pipeline16c <= 9'b0;
+		else if  (clk_en == 1'b1)   exp_pipeline16c <= exp_pipeline15c;
+	// synopsys translate_off
+	initial
+		exp_pipeline17c = 0;
+	// synopsys translate_on
+	always @ ( posedge clock or  posedge aclr)
+		if (aclr == 1'b1) exp_pipeline17c <= 9'b0;
+		else if  (clk_en == 1'b1)   exp_pipeline17c <= exp_pipeline16c;
+	// synopsys translate_off
+	initial
+		exp_pipeline18c = 0;
+	// synopsys translate_on
+	always @ ( posedge clock or  posedge aclr)
+		if (aclr == 1'b1) exp_pipeline18c <= 9'b0;
+		else if  (clk_en == 1'b1)   exp_pipeline18c <= exp_pipeline17c;
+	// synopsys translate_off
+	initial
+		exp_pipeline19c = 0;
+	// synopsys translate_on
+	always @ ( posedge clock or  posedge aclr)
+		if (aclr == 1'b1) exp_pipeline19c <= 9'b0;
+		else if  (clk_en == 1'b1)   exp_pipeline19c <= exp_pipeline18c;
+	// synopsys translate_off
+	initial
+		exp_pipeline1c = 0;
+	// synopsys translate_on
+	always @ ( posedge clock or  posedge aclr)
+		if (aclr == 1'b1) exp_pipeline1c <= 9'b0;
+		else if  (clk_en == 1'b1)   exp_pipeline1c <= exp_pipeline0c;
+	// synopsys translate_off
+	initial
+		exp_pipeline20c = 0;
+	// synopsys translate_on
+	always @ ( posedge clock or  posedge aclr)
+		if (aclr == 1'b1) exp_pipeline20c <= 9'b0;
+		else if  (clk_en == 1'b1)   exp_pipeline20c <= exp_pipeline19c;
+	// synopsys translate_off
+	initial
+		exp_pipeline21c = 0;
+	// synopsys translate_on
+	always @ ( posedge clock or  posedge aclr)
+		if (aclr == 1'b1) exp_pipeline21c <= 9'b0;
+		else if  (clk_en == 1'b1)   exp_pipeline21c <= exp_pipeline20c;
+	// synopsys translate_off
+	initial
+		exp_pipeline22c = 0;
+	// synopsys translate_on
+	always @ ( posedge clock or  posedge aclr)
+		if (aclr == 1'b1) exp_pipeline22c <= 9'b0;
+		else if  (clk_en == 1'b1)   exp_pipeline22c <= exp_pipeline21c;
+	// synopsys translate_off
+	initial
+		exp_pipeline23c = 0;
+	// synopsys translate_on
+	always @ ( posedge clock or  posedge aclr)
+		if (aclr == 1'b1) exp_pipeline23c <= 9'b0;
+		else if  (clk_en == 1'b1)   exp_pipeline23c <= exp_pipeline22c;
+	// synopsys translate_off
+	initial
+		exp_pipeline24c = 0;
+	// synopsys translate_on
+	always @ ( posedge clock or  posedge aclr)
+		if (aclr == 1'b1) exp_pipeline24c <= 9'b0;
+		else if  (clk_en == 1'b1)   exp_pipeline24c <= exp_pipeline23c;
+	// synopsys translate_off
+	initial
+		exp_pipeline25c = 0;
+	// synopsys translate_on
+	always @ ( posedge clock or  posedge aclr)
+		if (aclr == 1'b1) exp_pipeline25c <= 9'b0;
+		else if  (clk_en == 1'b1)   exp_pipeline25c <= exp_pipeline24c;
+	// synopsys translate_off
+	initial
+		exp_pipeline26c = 0;
+	// synopsys translate_on
+	always @ ( posedge clock or  posedge aclr)
+		if (aclr == 1'b1) exp_pipeline26c <= 9'b0;
+		else if  (clk_en == 1'b1)   exp_pipeline26c <= exp_pipeline25c;
+	// synopsys translate_off
+	initial
+		exp_pipeline2c = 0;
+	// synopsys translate_on
+	always @ ( posedge clock or  posedge aclr)
+		if (aclr == 1'b1) exp_pipeline2c <= 9'b0;
+		else if  (clk_en == 1'b1)   exp_pipeline2c <= exp_pipeline1c;
+	// synopsys translate_off
+	initial
+		exp_pipeline3c = 0;
+	// synopsys translate_on
+	always @ ( posedge clock or  posedge aclr)
+		if (aclr == 1'b1) exp_pipeline3c <= 9'b0;
+		else if  (clk_en == 1'b1)   exp_pipeline3c <= exp_pipeline2c;
+	// synopsys translate_off
+	initial
+		exp_pipeline4c = 0;
+	// synopsys translate_on
+	always @ ( posedge clock or  posedge aclr)
+		if (aclr == 1'b1) exp_pipeline4c <= 9'b0;
+		else if  (clk_en == 1'b1)   exp_pipeline4c <= exp_pipeline3c;
+	// synopsys translate_off
+	initial
+		exp_pipeline5c = 0;
+	// synopsys translate_on
+	always @ ( posedge clock or  posedge aclr)
+		if (aclr == 1'b1) exp_pipeline5c <= 9'b0;
+		else if  (clk_en == 1'b1)   exp_pipeline5c <= exp_pipeline4c;
+	// synopsys translate_off
+	initial
+		exp_pipeline6c = 0;
+	// synopsys translate_on
+	always @ ( posedge clock or  posedge aclr)
+		if (aclr == 1'b1) exp_pipeline6c <= 9'b0;
+		else if  (clk_en == 1'b1)   exp_pipeline6c <= exp_pipeline5c;
+	// synopsys translate_off
+	initial
+		exp_pipeline7c = 0;
+	// synopsys translate_on
+	always @ ( posedge clock or  posedge aclr)
+		if (aclr == 1'b1) exp_pipeline7c <= 9'b0;
+		else if  (clk_en == 1'b1)   exp_pipeline7c <= exp_pipeline6c;
+	// synopsys translate_off
+	initial
+		exp_pipeline8c = 0;
+	// synopsys translate_on
+	always @ ( posedge clock or  posedge aclr)
+		if (aclr == 1'b1) exp_pipeline8c <= 9'b0;
+		else if  (clk_en == 1'b1)   exp_pipeline8c <= exp_pipeline7c;
+	// synopsys translate_off
+	initial
+		exp_pipeline9c = 0;
+	// synopsys translate_on
+	always @ ( posedge clock or  posedge aclr)
+		if (aclr == 1'b1) exp_pipeline9c <= 9'b0;
+		else if  (clk_en == 1'b1)   exp_pipeline9c <= exp_pipeline8c;
+	// synopsys translate_off
+	initial
+		exp_res_pipe3 = 0;
+	// synopsys translate_on
+	always @ ( posedge clock or  posedge aclr)
+		if (aclr == 1'b1) exp_res_pipe3 <= 8'b0;
+		else if  (clk_en == 1'b1)   exp_res_pipe3 <= exp_res_int_w;
+	// synopsys translate_off
+	initial
+		implied_bit = 0;
+	// synopsys translate_on
+	always @ ( posedge clock or  posedge aclr)
+		if (aclr == 1'b1) implied_bit <= 1'b0;
+		else if  (clk_en == 1'b1)   implied_bit <= wire_altfp_div_srt_ext1_quotient[26];
+	// synopsys translate_off
+	initial
+		implied_bit2a = 0;
+	// synopsys translate_on
+	always @ ( posedge clock or  posedge aclr)
+		if (aclr == 1'b1) implied_bit2a <= 1'b0;
+		else if  (clk_en == 1'b1)   implied_bit2a <= implied_bit;
+	// synopsys translate_off
+	initial
+		man_a_and_dffe = 0;
+	// synopsys translate_on
+	always @ ( posedge clock or  posedge aclr)
+		if (aclr == 1'b1) man_a_and_dffe <= 1'b0;
+		else if  (clk_en == 1'b1)   man_a_and_dffe <= man_a_and_msb2_w;
+	// synopsys translate_off
+	initial
+		man_a_dffe = 0;
+	// synopsys translate_on
+	always @ ( posedge clock or  posedge aclr)
+		if (aclr == 1'b1) man_a_dffe <= 23'b0;
+		else if  (clk_en == 1'b1)   man_a_dffe <= dataa_int[22:0];
+	// synopsys translate_off
+	initial
+		man_a_or_dffe = 0;
+	// synopsys translate_on
+	always @ ( posedge clock or  posedge aclr)
+		if (aclr == 1'b1) man_a_or_dffe <= 1'b0;
+		else if  (clk_en == 1'b1)   man_a_or_dffe <= man_a_or_msb2_w;
+	// synopsys translate_off
+	initial
+		man_b_and_dffe = 0;
+	// synopsys translate_on
+	always @ ( posedge clock or  posedge aclr)
+		if (aclr == 1'b1) man_b_and_dffe <= 1'b0;
+		else if  (clk_en == 1'b1)   man_b_and_dffe <= man_b_and_msb2_w;
+	// synopsys translate_off
+	initial
+		man_b_dffe = 0;
+	// synopsys translate_on
+	always @ ( posedge clock or  posedge aclr)
+		if (aclr == 1'b1) man_b_dffe <= 23'b0;
+		else if  (clk_en == 1'b1)   man_b_dffe <= datab_int[22:0];
+	// synopsys translate_off
+	initial
+		man_b_or_dffe = 0;
+	// synopsys translate_on
+	always @ ( posedge clock or  posedge aclr)
+		if (aclr == 1'b1) man_b_or_dffe <= 1'b0;
+		else if  (clk_en == 1'b1)   man_b_or_dffe <= man_b_or_msb2_w;
+	// synopsys translate_off
+	initial
+		man_res_pipe3 = 0;
+	// synopsys translate_on
+	always @ ( posedge clock or  posedge aclr)
+		if (aclr == 1'b1) man_res_pipe3 <= 24'b0;
+		else if  (clk_en == 1'b1)   man_res_pipe3 <= man_res_int_w;
+	// synopsys translate_off
+	initial
+		quotient_pipe1a = 0;
+	// synopsys translate_on
+	always @ ( posedge clock or  posedge aclr)
+		if (aclr == 1'b1) quotient_pipe1a <= 28'b0;
+		else if  (clk_en == 1'b1)   quotient_pipe1a <= wire_altfp_div_srt_ext1_quotient;
+	// synopsys translate_off
+	initial
+		remainder_pipe1a = 0;
+	// synopsys translate_on
+	always @ ( posedge clock or  posedge aclr)
+		if (aclr == 1'b1) remainder_pipe1a <= 24'b0;
+		else if  (clk_en == 1'b1)   remainder_pipe1a <= wire_altfp_div_srt_ext1_remain;
+	// synopsys translate_off
+	initial
+		result_output_dffe = 0;
+	// synopsys translate_on
+	always @ ( posedge clock or  posedge aclr)
+		if (aclr == 1'b1) result_output_dffe <= 32'b0;
+		else if  (clk_en == 1'b1)   result_output_dffe <= mux_3_res_w;
+	// synopsys translate_off
+	initial
+		rnd_overflow_dffe = 0;
+	// synopsys translate_on
+	always @ ( posedge clock or  posedge aclr)
+		if (aclr == 1'b1) rnd_overflow_dffe <= 1'b0;
+		else if  (clk_en == 1'b1)   rnd_overflow_dffe <= rnd_overflow;
+	// synopsys translate_off
+	initial
+		rnded_man_pipe2a = 0;
+	// synopsys translate_on
+	always @ ( posedge clock or  posedge aclr)
+		if (aclr == 1'b1) rnded_man_pipe2a <= 24'b0;
+		else if  (clk_en == 1'b1)   rnded_man_pipe2a <= rnded_man_w;
+	// synopsys translate_off
+	initial
+		sign_a_dffe = 0;
+	// synopsys translate_on
+	always @ ( posedge clock or  posedge aclr)
+		if (aclr == 1'b1) sign_a_dffe <= 1'b0;
+		else if  (clk_en == 1'b1)   sign_a_dffe <= dataa_int[31];
+	// synopsys translate_off
+	initial
+		sign_b_dffe = 0;
+	// synopsys translate_on
+	always @ ( posedge clock or  posedge aclr)
+		if (aclr == 1'b1) sign_b_dffe <= 1'b0;
+		else if  (clk_en == 1'b1)   sign_b_dffe <= datab_int[31];
+	// synopsys translate_off
+	initial
+		sign_div_pipeline0c = 0;
+	// synopsys translate_on
+	always @ ( posedge clock or  posedge aclr)
+		if (aclr == 1'b1) sign_div_pipeline0c <= 1'b0;
+		else if  (clk_en == 1'b1)   sign_div_pipeline0c <= sign_div;
+	// synopsys translate_off
+	initial
+		sign_div_pipeline10c = 0;
+	// synopsys translate_on
+	always @ ( posedge clock or  posedge aclr)
+		if (aclr == 1'b1) sign_div_pipeline10c <= 1'b0;
+		else if  (clk_en == 1'b1)   sign_div_pipeline10c <= sign_div_pipeline9c;
+	// synopsys translate_off
+	initial
+		sign_div_pipeline11c = 0;
+	// synopsys translate_on
+	always @ ( posedge clock or  posedge aclr)
+		if (aclr == 1'b1) sign_div_pipeline11c <= 1'b0;
+		else if  (clk_en == 1'b1)   sign_div_pipeline11c <= sign_div_pipeline10c;
+	// synopsys translate_off
+	initial
+		sign_div_pipeline12c = 0;
+	// synopsys translate_on
+	always @ ( posedge clock or  posedge aclr)
+		if (aclr == 1'b1) sign_div_pipeline12c <= 1'b0;
+		else if  (clk_en == 1'b1)   sign_div_pipeline12c <= sign_div_pipeline11c;
+	// synopsys translate_off
+	initial
+		sign_div_pipeline13c = 0;
+	// synopsys translate_on
+	always @ ( posedge clock or  posedge aclr)
+		if (aclr == 1'b1) sign_div_pipeline13c <= 1'b0;
+		else if  (clk_en == 1'b1)   sign_div_pipeline13c <= sign_div_pipeline12c;
+	// synopsys translate_off
+	initial
+		sign_div_pipeline14c = 0;
+	// synopsys translate_on
+	always @ ( posedge clock or  posedge aclr)
+		if (aclr == 1'b1) sign_div_pipeline14c <= 1'b0;
+		else if  (clk_en == 1'b1)   sign_div_pipeline14c <= sign_div_pipeline13c;
+	// synopsys translate_off
+	initial
+		sign_div_pipeline15c = 0;
+	// synopsys translate_on
+	always @ ( posedge clock or  posedge aclr)
+		if (aclr == 1'b1) sign_div_pipeline15c <= 1'b0;
+		else if  (clk_en == 1'b1)   sign_div_pipeline15c <= sign_div_pipeline14c;
+	// synopsys translate_off
+	initial
+		sign_div_pipeline16c = 0;
+	// synopsys translate_on
+	always @ ( posedge clock or  posedge aclr)
+		if (aclr == 1'b1) sign_div_pipeline16c <= 1'b0;
+		else if  (clk_en == 1'b1)   sign_div_pipeline16c <= sign_div_pipeline15c;
+	// synopsys translate_off
+	initial
+		sign_div_pipeline17c = 0;
+	// synopsys translate_on
+	always @ ( posedge clock or  posedge aclr)
+		if (aclr == 1'b1) sign_div_pipeline17c <= 1'b0;
+		else if  (clk_en == 1'b1)   sign_div_pipeline17c <= sign_div_pipeline16c;
+	// synopsys translate_off
+	initial
+		sign_div_pipeline18c = 0;
+	// synopsys translate_on
+	always @ ( posedge clock or  posedge aclr)
+		if (aclr == 1'b1) sign_div_pipeline18c <= 1'b0;
+		else if  (clk_en == 1'b1)   sign_div_pipeline18c <= sign_div_pipeline17c;
+	// synopsys translate_off
+	initial
+		sign_div_pipeline19c = 0;
+	// synopsys translate_on
+	always @ ( posedge clock or  posedge aclr)
+		if (aclr == 1'b1) sign_div_pipeline19c <= 1'b0;
+		else if  (clk_en == 1'b1)   sign_div_pipeline19c <= sign_div_pipeline18c;
+	// synopsys translate_off
+	initial
+		sign_div_pipeline1c = 0;
+	// synopsys translate_on
+	always @ ( posedge clock or  posedge aclr)
+		if (aclr == 1'b1) sign_div_pipeline1c <= 1'b0;
+		else if  (clk_en == 1'b1)   sign_div_pipeline1c <= sign_div_pipeline0c;
+	// synopsys translate_off
+	initial
+		sign_div_pipeline20c = 0;
+	// synopsys translate_on
+	always @ ( posedge clock or  posedge aclr)
+		if (aclr == 1'b1) sign_div_pipeline20c <= 1'b0;
+		else if  (clk_en == 1'b1)   sign_div_pipeline20c <= sign_div_pipeline19c;
+	// synopsys translate_off
+	initial
+		sign_div_pipeline21c = 0;
+	// synopsys translate_on
+	always @ ( posedge clock or  posedge aclr)
+		if (aclr == 1'b1) sign_div_pipeline21c <= 1'b0;
+		else if  (clk_en == 1'b1)   sign_div_pipeline21c <= sign_div_pipeline20c;
+	// synopsys translate_off
+	initial
+		sign_div_pipeline22c = 0;
+	// synopsys translate_on
+	always @ ( posedge clock or  posedge aclr)
+		if (aclr == 1'b1) sign_div_pipeline22c <= 1'b0;
+		else if  (clk_en == 1'b1)   sign_div_pipeline22c <= sign_div_pipeline21c;
+	// synopsys translate_off
+	initial
+		sign_div_pipeline23c = 0;
+	// synopsys translate_on
+	always @ ( posedge clock or  posedge aclr)
+		if (aclr == 1'b1) sign_div_pipeline23c <= 1'b0;
+		else if  (clk_en == 1'b1)   sign_div_pipeline23c <= sign_div_pipeline22c;
+	// synopsys translate_off
+	initial
+		sign_div_pipeline24c = 0;
+	// synopsys translate_on
+	always @ ( posedge clock or  posedge aclr)
+		if (aclr == 1'b1) sign_div_pipeline24c <= 1'b0;
+		else if  (clk_en == 1'b1)   sign_div_pipeline24c <= sign_div_pipeline23c;
+	// synopsys translate_off
+	initial
+		sign_div_pipeline25c = 0;
+	// synopsys translate_on
+	always @ ( posedge clock or  posedge aclr)
+		if (aclr == 1'b1) sign_div_pipeline25c <= 1'b0;
+		else if  (clk_en == 1'b1)   sign_div_pipeline25c <= sign_div_pipeline24c;
+	// synopsys translate_off
+	initial
+		sign_div_pipeline26c = 0;
+	// synopsys translate_on
+	always @ ( posedge clock or  posedge aclr)
+		if (aclr == 1'b1) sign_div_pipeline26c <= 1'b0;
+		else if  (clk_en == 1'b1)   sign_div_pipeline26c <= sign_div_pipeline25c;
+	// synopsys translate_off
+	initial
+		sign_div_pipeline27c = 0;
+	// synopsys translate_on
+	always @ ( posedge clock or  posedge aclr)
+		if (aclr == 1'b1) sign_div_pipeline27c <= 1'b0;
+		else if  (clk_en == 1'b1)   sign_div_pipeline27c <= sign_div_pipeline26c;
+	// synopsys translate_off
+	initial
+		sign_div_pipeline2c = 0;
+	// synopsys translate_on
+	always @ ( posedge clock or  posedge aclr)
+		if (aclr == 1'b1) sign_div_pipeline2c <= 1'b0;
+		else if  (clk_en == 1'b1)   sign_div_pipeline2c <= sign_div_pipeline1c;
+	// synopsys translate_off
+	initial
+		sign_div_pipeline3c = 0;
+	// synopsys translate_on
+	always @ ( posedge clock or  posedge aclr)
+		if (aclr == 1'b1) sign_div_pipeline3c <= 1'b0;
+		else if  (clk_en == 1'b1)   sign_div_pipeline3c <= sign_div_pipeline2c;
+	// synopsys translate_off
+	initial
+		sign_div_pipeline4c = 0;
+	// synopsys translate_on
+	always @ ( posedge clock or  posedge aclr)
+		if (aclr == 1'b1) sign_div_pipeline4c <= 1'b0;
+		else if  (clk_en == 1'b1)   sign_div_pipeline4c <= sign_div_pipeline3c;
+	// synopsys translate_off
+	initial
+		sign_div_pipeline5c = 0;
+	// synopsys translate_on
+	always @ ( posedge clock or  posedge aclr)
+		if (aclr == 1'b1) sign_div_pipeline5c <= 1'b0;
+		else if  (clk_en == 1'b1)   sign_div_pipeline5c <= sign_div_pipeline4c;
+	// synopsys translate_off
+	initial
+		sign_div_pipeline6c = 0;
+	// synopsys translate_on
+	always @ ( posedge clock or  posedge aclr)
+		if (aclr == 1'b1) sign_div_pipeline6c <= 1'b0;
+		else if  (clk_en == 1'b1)   sign_div_pipeline6c <= sign_div_pipeline5c;
+	// synopsys translate_off
+	initial
+		sign_div_pipeline7c = 0;
+	// synopsys translate_on
+	always @ ( posedge clock or  posedge aclr)
+		if (aclr == 1'b1) sign_div_pipeline7c <= 1'b0;
+		else if  (clk_en == 1'b1)   sign_div_pipeline7c <= sign_div_pipeline6c;
+	// synopsys translate_off
+	initial
+		sign_div_pipeline8c = 0;
+	// synopsys translate_on
+	always @ ( posedge clock or  posedge aclr)
+		if (aclr == 1'b1) sign_div_pipeline8c <= 1'b0;
+		else if  (clk_en == 1'b1)   sign_div_pipeline8c <= sign_div_pipeline7c;
+	// synopsys translate_off
+	initial
+		sign_div_pipeline9c = 0;
+	// synopsys translate_on
+	always @ ( posedge clock or  posedge aclr)
+		if (aclr == 1'b1) sign_div_pipeline9c <= 1'b0;
+		else if  (clk_en == 1'b1)   sign_div_pipeline9c <= sign_div_pipeline8c;
+	// synopsys translate_off
+	initial
+		sign_pipe1a = 0;
+	// synopsys translate_on
+	always @ ( posedge clock or  posedge aclr)
+		if (aclr == 1'b1) sign_pipe1a <= 1'b0;
+		else if  (clk_en == 1'b1)   sign_pipe1a <= sign_div_pipeline_w;
+	// synopsys translate_off
+	initial
+		sign_pipe2a = 0;
+	// synopsys translate_on
+	always @ ( posedge clock or  posedge aclr)
+		if (aclr == 1'b1) sign_pipe2a <= 1'b0;
+		else if  (clk_en == 1'b1)   sign_pipe2a <= sign_pipe1a;
+	// synopsys translate_off
+	initial
+		sign_pipe3a = 0;
+	// synopsys translate_on
+	always @ ( posedge clock or  posedge aclr)
+		if (aclr == 1'b1) sign_pipe3a <= 1'b0;
+		else if  (clk_en == 1'b1)   sign_pipe3a <= sign_pipe2a;
+	lpm_add_sub   add_sub10
+	( 
+	.cout(),
+	.dataa(exp_dffe2a_w),
+	.datab(bias_add_w),
+	.overflow(wire_add_sub10_overflow),
+	.result(wire_add_sub10_result)
+	`ifndef FORMAL_VERIFICATION
+	// synopsys translate_off
+	`endif
+	,
+	.aclr(1'b0),
+	.add_sub(1'b1),
+	.cin(),
+	.clken(1'b1),
+	.clock(1'b0)
+	`ifndef FORMAL_VERIFICATION
+	// synopsys translate_on
+	`endif
+	);
+	defparam
+		add_sub10.lpm_direction = "ADD",
+		add_sub10.lpm_representation = "SIGNED",
+		add_sub10.lpm_width = 9,
+		add_sub10.lpm_type = "lpm_add_sub";
+	lpm_add_sub   add_sub9
+	( 
+	.aclr(aclr),
+	.clken(clk_en),
+	.clock(clock),
+	.cout(),
+	.dataa(exp_sub_a_w),
+	.datab(exp_sub_b_w),
+	.overflow(),
+	.result(wire_add_sub9_result)
+	`ifndef FORMAL_VERIFICATION
+	// synopsys translate_off
+	`endif
+	,
+	.add_sub(1'b1),
+	.cin()
+	`ifndef FORMAL_VERIFICATION
+	// synopsys translate_on
+	`endif
+	);
+	defparam
+		add_sub9.lpm_direction = "SUB",
+		add_sub9.lpm_pipeline = 1,
+		add_sub9.lpm_representation = "SIGNED",
+		add_sub9.lpm_width = 9,
+		add_sub9.lpm_type = "lpm_add_sub";
+	lpm_compare   cmpr2
+	( 
+	.aeb(wire_cmpr2_aeb),
+	.agb(wire_cmpr2_agb),
+	.ageb(),
+	.alb(),
+	.aleb(),
+	.aneb(),
+	.dataa(quo_msb_m1_compare_dataa[52:39]),
+	.datab(quo_msb_m1_compare_datab[52:39])
+	`ifndef FORMAL_VERIFICATION
+	// synopsys translate_off
+	`endif
+	,
+	.aclr(1'b0),
+	.clken(1'b1),
+	.clock(1'b0)
+	`ifndef FORMAL_VERIFICATION
+	// synopsys translate_on
+	`endif
+	);
+	defparam
+		cmpr2.lpm_representation = "UNSIGNED",
+		cmpr2.lpm_width = 14,
+		cmpr2.lpm_type = "lpm_compare";
+	lpm_compare   cmpr3
+	( 
+	.aeb(wire_cmpr3_aeb),
+	.agb(wire_cmpr3_agb),
+	.ageb(),
+	.alb(),
+	.aleb(),
+	.aneb(),
+	.dataa(quo_msb_m1_compare_dataa[38:26]),
+	.datab(quo_msb_m1_compare_datab[38:26])
+	`ifndef FORMAL_VERIFICATION
+	// synopsys translate_off
+	`endif
+	,
+	.aclr(1'b0),
+	.clken(1'b1),
+	.clock(1'b0)
+	`ifndef FORMAL_VERIFICATION
+	// synopsys translate_on
+	`endif
+	);
+	defparam
+		cmpr3.lpm_representation = "UNSIGNED",
+		cmpr3.lpm_width = 13,
+		cmpr3.lpm_type = "lpm_compare";
+	lpm_compare   cmpr4
+	( 
+	.aeb(wire_cmpr4_aeb),
+	.agb(wire_cmpr4_agb),
+	.ageb(),
+	.alb(),
+	.aleb(),
+	.aneb(),
+	.dataa(quo_msb_m1_compare_dataa[25:13]),
+	.datab(quo_msb_m1_compare_datab[25:13])
+	`ifndef FORMAL_VERIFICATION
+	// synopsys translate_off
+	`endif
+	,
+	.aclr(1'b0),
+	.clken(1'b1),
+	.clock(1'b0)
+	`ifndef FORMAL_VERIFICATION
+	// synopsys translate_on
+	`endif
+	);
+	defparam
+		cmpr4.lpm_representation = "UNSIGNED",
+		cmpr4.lpm_width = 13,
+		cmpr4.lpm_type = "lpm_compare";
+	lpm_compare   cmpr5
+	( 
+	.aeb(),
+	.agb(),
+	.ageb(wire_cmpr5_ageb),
+	.alb(),
+	.aleb(),
+	.aneb(),
+	.dataa(quo_msb_m1_compare_dataa[12:0]),
+	.datab(quo_msb_m1_compare_datab[12:0])
+	`ifndef FORMAL_VERIFICATION
+	// synopsys translate_off
+	`endif
+	,
+	.aclr(1'b0),
+	.clken(1'b1),
+	.clock(1'b0)
+	`ifndef FORMAL_VERIFICATION
+	// synopsys translate_on
+	`endif
+	);
+	defparam
+		cmpr5.lpm_representation = "UNSIGNED",
+		cmpr5.lpm_width = 13,
+		cmpr5.lpm_type = "lpm_compare";
+	lpm_compare   cmpr6
+	( 
+	.aeb(wire_cmpr6_aeb),
+	.agb(wire_cmpr6_agb),
+	.ageb(),
+	.alb(),
+	.aleb(),
+	.aneb(),
+	.dataa(quo_msb_m2_compare_dataa[53:27]),
+	.datab(quo_msb_m2_compare_datab[53:27])
+	`ifndef FORMAL_VERIFICATION
+	// synopsys translate_off
+	`endif
+	,
+	.aclr(1'b0),
+	.clken(1'b1),
+	.clock(1'b0)
+	`ifndef FORMAL_VERIFICATION
+	// synopsys translate_on
+	`endif
+	);
+	defparam
+		cmpr6.lpm_representation = "UNSIGNED",
+		cmpr6.lpm_width = 27,
+		cmpr6.lpm_type = "lpm_compare";
+	lpm_compare   cmpr7
+	( 
+	.aeb(),
+	.agb(),
+	.ageb(wire_cmpr7_ageb),
+	.alb(),
+	.aleb(),
+	.aneb(),
+	.dataa(quo_msb_m2_compare_dataa[26:0]),
+	.datab(quo_msb_m2_compare_datab[26:0])
+	`ifndef FORMAL_VERIFICATION
+	// synopsys translate_off
+	`endif
+	,
+	.aclr(1'b0),
+	.clken(1'b1),
+	.clock(1'b0)
+	`ifndef FORMAL_VERIFICATION
+	// synopsys translate_on
+	`endif
+	);
+	defparam
+		cmpr7.lpm_representation = "UNSIGNED",
+		cmpr7.lpm_width = 27,
+		cmpr7.lpm_type = "lpm_compare";
+	assign
+		add_1_dataa_w = {checked_quotient_dffe1a_w},
+		add_1_datab_w = {24{1'b0}},
+		add_1_w = ((((~ guard_bit_dffe1a_w) & round_bit_dffe1a_w) & sticky_bit_dffe1a_w) | (guard_bit_dffe1a_w & round_bit_dffe1a_w)),
+		add_one_process_w = wire_altfp_div_csa8_result,
+		and_or_dffe1a_w = and_or_dffe1a,
+		and_or_dffe3a_w = and_or_dffe3a,
+		and_or_dffe_w = and_or_dffe,
+		and_or_int_w = and_or_dffe1a,
+		and_or_pipeline_w = and_or_pipeline27c,
+		bias_add_w = (({9{(~ exp_a_b_w)}} & value_zero_w) | ({9{exp_a_b_w}} & bias_value_w)),
+		bias_addition_overf_w = (wire_add_sub10_overflow | ((~ exp_sign_w) & exp_bias_and_res_w)),
+		bias_addition_w = wire_add_sub10_result[7:0],
+		bias_value_w = (((({9{((~ mux1_exp_s1a) & (~ mux1_exp_s0a))}} & value_minus_1_w) | ({9{((~ mux1_exp_s1a) & mux1_exp_s0a)}} & value_normal_w)) | ({9{(mux1_exp_s1a & (~ mux1_exp_s0a))}} & value_normal_w)) | ({9{(mux1_exp_s1a & mux1_exp_s0a)}} & value_add_1_w)),
+		checked_quotient_dffe1a_w = checked_quotient_w,
+		checked_quotient_w = (({24{quo_msb_m1_w}} & quotient_msb_m1_w) | ({24{(~ quo_msb_m1_w)}} & quotient_msb_m2_w)),
+		dataa_exp_bus_w = dataa[30:23],
+		dataa_int = {dataa[31], (({31{dataa_S0}} & zero_bit_31_w) | ({31{(~ dataa_S0)}} & dataa[30:0]))},
+		dataa_man_bus_w = dataa[22:0],
+		dataa_S0 = ((~ exp_a_or_msb_w) & man_a_or_msb_w),
+		datab_exp_bus_w = datab[30:23],
+		datab_int = {datab[31], (({31{datab_S0}} & zero_bit_31_w) | ({31{(~ datab_S0)}} & datab[30:0]))},
+		datab_man_bus_w = datab[22:0],
+		datab_S0 = ((~ exp_b_or_msb_w) & man_b_or_msb_w),
+		divider_srt_w = divider_pipe1a,
+		exp_a_and_msb2_w = and_or_dffe3a_w[6],
+		exp_a_and_msb_w = exp_a_and_w[7],
+		exp_a_and_mux_w = ((dataa_S0 & zero_bit_w) | ((~ dataa_S0) & exp_a_and_msb_w)),
+		exp_a_and_w = {(exp_a_and_w[6] & exp_a_bus_w[7]), (exp_a_and_w[5] & exp_a_bus_w[6]), (exp_a_and_w[4] & exp_a_bus_w[5]), (exp_a_and_w[3] & exp_a_bus_w[4]), (exp_a_and_w[2] & exp_a_bus_w[3]), (exp_a_and_w[1] & exp_a_bus_w[2]), (exp_a_and_w[0] & exp_a_bus_w[1]), exp_a_bus_w[0]},
+		exp_a_b_w = exp_a_b_dffe,
+		exp_a_bus_w = dataa_exp_bus_w,
+		exp_a_non_zero_w = exp_a_or_dffe,
+		exp_a_one_w = exp_a_and_dffe,
+		exp_a_or_msb2_w = and_or_dffe3a_w[7],
+		exp_a_or_msb_w = exp_a_or_w[7],
+		exp_a_or_mux_w = ((dataa_S0 & zero_bit_w) | ((~ dataa_S0) & exp_a_or_msb_w)),
+		exp_a_or_w = {(exp_a_or_w[6] | exp_a_bus_w[7]), (exp_a_or_w[5] | exp_a_bus_w[6]), (exp_a_or_w[4] | exp_a_bus_w[5]), (exp_a_or_w[3] | exp_a_bus_w[4]), (exp_a_or_w[2] | exp_a_bus_w[3]), (exp_a_or_w[1] | exp_a_bus_w[2]), (exp_a_or_w[0] | exp_a_bus_w[1]), exp_a_bus_w[0]},
+		exp_a_w = exp_a_dffe,
+		exp_a_zero_w = (~ exp_a_or_dffe),
+		exp_b_and_msb2_w = and_or_dffe3a_w[2],
+		exp_b_and_msb_w = exp_b_and_w[7],
+		exp_b_and_mux_w = ((datab_S0 & zero_bit_w) | ((~ datab_S0) & exp_b_and_msb_w)),
+		exp_b_and_w = {(exp_b_and_w[6] & exp_b_bus_w[7]), (exp_b_and_w[5] & exp_b_bus_w[6]), (exp_b_and_w[4] & exp_b_bus_w[5]), (exp_b_and_w[3] & exp_b_bus_w[4]), (exp_b_and_w[2] & exp_b_bus_w[3]), (exp_b_and_w[1] & exp_b_bus_w[2]), (exp_b_and_w[0] & exp_b_bus_w[1]), exp_b_bus_w[0]},
+		exp_b_bus_w = datab_exp_bus_w,
+		exp_b_non_zero_w = exp_b_or_dffe,
+		exp_b_one_w = exp_b_and_dffe,
+		exp_b_or_msb2_w = and_or_dffe3a_w[3],
+		exp_b_or_msb_w = exp_b_or_w[7],
+		exp_b_or_mux_w = ((datab_S0 & zero_bit_w) | ((~ datab_S0) & exp_b_or_msb_w)),
+		exp_b_or_w = {(exp_b_or_w[6] | exp_b_bus_w[7]), (exp_b_or_w[5] | exp_b_bus_w[6]), (exp_b_or_w[4] | exp_b_bus_w[5]), (exp_b_or_w[3] | exp_b_bus_w[4]), (exp_b_or_w[2] | exp_b_bus_w[3]), (exp_b_or_w[1] | exp_b_bus_w[2]), (exp_b_or_w[0] | exp_b_bus_w[1]), exp_b_bus_w[0]},
+		exp_b_w = exp_b_dffe,
+		exp_b_zero_w = (~ exp_b_or_dffe),
+		exp_bias_and_res_w = exp_bias_and_w[7],
+		exp_bias_and_w = {(exp_bias_and_w[6] & exp_bias_bus_w[7]), (exp_bias_and_w[5] & exp_bias_bus_w[6]), (exp_bias_and_w[4] & exp_bias_bus_w[5]), (exp_bias_and_w[3] & exp_bias_bus_w[4]), (exp_bias_and_w[2] & exp_bias_bus_w[3]), (exp_bias_and_w[1] & exp_bias_bus_w[2]), (exp_bias_and_w[0] & exp_bias_bus_w[1]), exp_bias_bus_w[0]},
+		exp_bias_bus_w = wire_add_sub10_result[7:0],
+		exp_dffe1a_w = exp_dffe1a,
+		exp_dffe2a_w = exp_dffe2a,
+		exp_exc_ones_w = {8{1'b1}},
+		exp_exc_zeros_w = {8{1'b0}},
+		exp_higher_bit = not_bias_addition_w[7:6],
+		exp_higher_or = {(exp_higher_or[0] | exp_higher_bit[1]), exp_higher_bit[0]},
+		exp_infi_bus_w = norm_infi_and_w[7],
+		exp_man_and_or_w = {exp_a_or_mux_w, exp_a_and_mux_w, man_a_or_mux_w, man_a_and_mux_w, exp_b_or_mux_w, exp_b_and_mux_w, man_b_or_mux_w, man_b_and_mux_w},
+		exp_or_result_w = (and_or_dffe1a_w[7] | and_or_dffe1a_w[3]),
+		exp_pipeline_w = exp_pipeline26c,
+		exp_res_and_w = {(exp_res_and_w[6] & exp_res_bus_w[7]), (exp_res_and_w[5] & exp_res_bus_w[6]), (exp_res_and_w[4] & exp_res_bus_w[5]), (exp_res_and_w[3] & exp_res_bus_w[4]), (exp_res_and_w[2] & exp_res_bus_w[3]), (exp_res_and_w[1] & exp_res_bus_w[2]), (exp_res_and_w[0] & exp_res_bus_w[1]), exp_res_bus_w[0]},
+		exp_res_bus_w = exp_res_w,
+		exp_res_int2_bus_w = exp_res_int2_w,
+		exp_res_int2_or_w = {(exp_res_int2_or_w[6] | exp_res_int2_bus_w[7]), (exp_res_int2_or_w[5] | exp_res_int2_bus_w[6]), (exp_res_int2_or_w[4] | exp_res_int2_bus_w[5]), (exp_res_int2_or_w[3] | exp_res_int2_bus_w[4]), (exp_res_int2_or_w[2] | exp_res_int2_bus_w[3]), (exp_res_int2_or_w[1] | exp_res_int2_bus_w[2]), (exp_res_int2_or_w[0] | exp_res_int2_bus_w[1]), exp_res_int2_bus_w[0]},
+		exp_res_int2_w = exp_res_pipe3,
+		exp_res_int_w = ((({8{((~ bias_addition_overf_w) & (~ exp_sign_w))}} & bias_addition_w) | ({8{((~ bias_addition_overf_w) & exp_sign_w)}} & underflow_zeros_w)) | ({8{(bias_addition_overf_w & (~ exp_sign_w))}} & overflow_ones_w)),
+		exp_res_w = (({8{not_exp_res_int2_or_res_w}} & zero_bit_8_w) | ({8{(~ not_exp_res_int2_or_res_w)}} & exp_res_int2_w)),
+		exp_sign_w = wire_add_sub10_result[8],
+		exp_sub_a_w = {1'b0, exp_a_w},
+		exp_sub_b_w = {1'b0, exp_b_w},
+		exp_sub_w = wire_add_sub9_result,
+		exp_zero_bus_w = (~ norm_zero_or_w[7]),
+		guard_bit_dffe1a_w = guard_bit_w,
+		guard_bit_quo_msb_m1 = quotient_w[3],
+		guard_bit_quo_msb_m2 = quotient_w[2],
+		guard_bit_w = ((quo_msb_m1_w & guard_bit_quo_msb_m1) | ((~ quo_msb_m1_w) & guard_bit_quo_msb_m2)),
+		infi_combi_w = (((infi_dataa_w & norm_datab_w) | (norm_dataa_w & zero_datab_w)) | (infi_dataa_w & zero_datab_w)),
+		infi_dataa_w = (exp_a_one_w & man_a_zero_w),
+		infi_datab_w = (exp_b_one_w & man_b_zero_w),
+		infi_res_w = {sign_exc_bit_w, exp_exc_ones_w, man_exc_zeros_w},
+		infinite_int_w = (infi_combi_w | overflow_int_w),
+		infinite_w = infinite_int_w,
+		man_24_zeros_w = {24{1'b0}},
+		man_a_and_msb2_w = and_or_dffe3a_w[4],
+		man_a_and_msb_w = man_a_and_w[22],
+		man_a_and_mux_w = ((dataa_S0 & zero_bit_w) | ((~ dataa_S0) & man_a_and_msb_w)),
+		man_a_and_w = {(man_a_and_w[21] & man_a_bus_w[22]), (man_a_and_w[20] & man_a_bus_w[21]), (man_a_and_w[19] & man_a_bus_w[20]), (man_a_and_w[18] & man_a_bus_w[19]), (man_a_and_w[17] & man_a_bus_w[18]), (man_a_and_w[16] & man_a_bus_w[17]), (man_a_and_w[15] & man_a_bus_w[16]), (man_a_and_w[14] & man_a_bus_w[15]), (man_a_and_w[13] & man_a_bus_w[14]), (man_a_and_w[12] & man_a_bus_w[13]), (man_a_and_w[11] & man_a_bus_w[12]), (man_a_and_w[10] & man_a_bus_w[11]), (man_a_and_w[9] & man_a_bus_w[10]), (man_a_and_w[8] & man_a_bus_w[9]), (man_a_and_w[7] & man_a_bus_w[8]), (man_a_and_w[6] & man_a_bus_w[7]), (man_a_and_w[5] & man_a_bus_w[6]), (man_a_and_w[4] & man_a_bus_w[5]), (man_a_and_w[3] & man_a_bus_w[4]), (man_a_and_w[2] & man_a_bus_w[3]), (man_a_and_w[1] & man_a_bus_w[2]), (man_a_and_w[0] & man_a_bus_w[1]), man_a_bus_w[0]},
+		man_a_bus_w = dataa_man_bus_w,
+		man_a_int_w = man_a_dffe,
+		man_a_non_zero_w = man_a_or_dffe,
+		man_a_one_w = man_a_and_dffe,
+		man_a_or_msb2_w = and_or_dffe3a_w[5],
+		man_a_or_msb_w = man_a_or_w[22],
+		man_a_or_mux_w = ((dataa_S0 & zero_bit_w) | ((~ dataa_S0) & man_a_or_msb_w)),
+		man_a_or_w = {(man_a_or_w[21] | man_a_bus_w[22]), (man_a_or_w[20] | man_a_bus_w[21]), (man_a_or_w[19] | man_a_bus_w[20]), (man_a_or_w[18] | man_a_bus_w[19]), (man_a_or_w[17] | man_a_bus_w[18]), (man_a_or_w[16] | man_a_bus_w[17]), (man_a_or_w[15] | man_a_bus_w[16]), (man_a_or_w[14] | man_a_bus_w[15]), (man_a_or_w[13] | man_a_bus_w[14]), (man_a_or_w[12] | man_a_bus_w[13]), (man_a_or_w[11] | man_a_bus_w[12]), (man_a_or_w[10] | man_a_bus_w[11]), (man_a_or_w[9] | man_a_bus_w[10]), (man_a_or_w[8] | man_a_bus_w[9]), (man_a_or_w[7] | man_a_bus_w[8]), (man_a_or_w[6] | man_a_bus_w[7]), (man_a_or_w[5] | man_a_bus_w[6]), (man_a_or_w[4] | man_a_bus_w[5]), (man_a_or_w[3] | man_a_bus_w[4]), (man_a_or_w[2] | man_a_bus_w[3]), (man_a_or_w[1] | man_a_bus_w[2]), (man_a_or_w[0] | man_a_bus_w[1]), man_a_bus_w[0]},
+		man_a_w = {1'b1, man_a_int_w},
+		man_a_zero_w = (~ man_a_or_dffe),
+		man_b_and_msb2_w = and_or_dffe3a_w[0],
+		man_b_and_msb_w = man_b_and_w[22],
+		man_b_and_mux_w = ((datab_S0 & zero_bit_w) | ((~ datab_S0) & man_b_and_msb_w)),
+		man_b_and_w = {(man_b_and_w[21] & man_b_bus_w[22]), (man_b_and_w[20] & man_b_bus_w[21]), (man_b_and_w[19] & man_b_bus_w[20]), (man_b_and_w[18] & man_b_bus_w[19]), (man_b_and_w[17] & man_b_bus_w[18]), (man_b_and_w[16] & man_b_bus_w[17]), (man_b_and_w[15] & man_b_bus_w[16]), (man_b_and_w[14] & man_b_bus_w[15]), (man_b_and_w[13] & man_b_bus_w[14]), (man_b_and_w[12] & man_b_bus_w[13]), (man_b_and_w[11] & man_b_bus_w[12]), (man_b_and_w[10] & man_b_bus_w[11]), (man_b_and_w[9] & man_b_bus_w[10]), (man_b_and_w[8] & man_b_bus_w[9]), (man_b_and_w[7] & man_b_bus_w[8]), (man_b_and_w[6] & man_b_bus_w[7]), (man_b_and_w[5] & man_b_bus_w[6]), (man_b_and_w[4] & man_b_bus_w[5]), (man_b_and_w[3] & man_b_bus_w[4]), (man_b_and_w[2] & man_b_bus_w[3]), (man_b_and_w[1] & man_b_bus_w[2]), (man_b_and_w[0] & man_b_bus_w[1]), man_b_bus_w[0]},
+		man_b_bus_w = datab_man_bus_w,
+		man_b_int_w = man_b_dffe,
+		man_b_non_zero_w = man_b_or_dffe,
+		man_b_one_w = man_b_and_dffe,
+		man_b_or_msb2_w = and_or_dffe3a_w[1],
+		man_b_or_msb_w = man_b_or_w[22],
+		man_b_or_mux_w = ((datab_S0 & zero_bit_w) | ((~ datab_S0) & man_b_or_msb_w)),
+		man_b_or_w = {(man_b_or_w[21] | man_b_bus_w[22]), (man_b_or_w[20] | man_b_bus_w[21]), (man_b_or_w[19] | man_b_bus_w[20]), (man_b_or_w[18] | man_b_bus_w[19]), (man_b_or_w[17] | man_b_bus_w[18]), (man_b_or_w[16] | man_b_bus_w[17]), (man_b_or_w[15] | man_b_bus_w[16]), (man_b_or_w[14] | man_b_bus_w[15]), (man_b_or_w[13] | man_b_bus_w[14]), (man_b_or_w[12] | man_b_bus_w[13]), (man_b_or_w[11] | man_b_bus_w[12]), (man_b_or_w[10] | man_b_bus_w[11]), (man_b_or_w[9] | man_b_bus_w[10]), (man_b_or_w[8] | man_b_bus_w[9]), (man_b_or_w[7] | man_b_bus_w[8]), (man_b_or_w[6] | man_b_bus_w[7]), (man_b_or_w[5] | man_b_bus_w[6]), (man_b_or_w[4] | man_b_bus_w[5]), (man_b_or_w[3] | man_b_bus_w[4]), (man_b_or_w[2] | man_b_bus_w[3]), (man_b_or_w[1] | man_b_bus_w[2]), (man_b_or_w[0] | man_b_bus_w[1]), man_b_bus_w[0]},
+		man_b_w = {1'b1, man_b_int_w},
+		man_b_zero_w = (~ man_b_or_dffe),
+		man_exc_nan_w = {1'b1, man_exc_zeros_w[21:0]},
+		man_exc_zeros_w = {23{1'b0}},
+		man_res_bus_w = man_res_w[22:0],
+		man_res_int2_w = man_res_pipe3,
+		man_res_int_w = mux_zero_non_zero_w,
+		man_res_or_w = {(man_res_or_w[21] | man_res_bus_w[22]), (man_res_or_w[20] | man_res_bus_w[21]), (man_res_or_w[19] | man_res_bus_w[20]), (man_res_or_w[18] | man_res_bus_w[19]), (man_res_or_w[17] | man_res_bus_w[18]), (man_res_or_w[16] | man_res_bus_w[17]), (man_res_or_w[15] | man_res_bus_w[16]), (man_res_or_w[14] | man_res_bus_w[15]), (man_res_or_w[13] | man_res_bus_w[14]), (man_res_or_w[12] | man_res_bus_w[13]), (man_res_or_w[11] | man_res_bus_w[12]), (man_res_or_w[10] | man_res_bus_w[11]), (man_res_or_w[9] | man_res_bus_w[10]), (man_res_or_w[8] | man_res_bus_w[9]), (man_res_or_w[7] | man_res_bus_w[8]), (man_res_or_w[6] | man_res_bus_w[7]), (man_res_or_w[5] | man_res_bus_w[6]), (man_res_or_w[4] | man_res_bus_w[5]), (man_res_or_w[3] | man_res_bus_w[4]), (man_res_or_w[2] | man_res_bus_w[3]), (man_res_or_w[1] | man_res_bus_w[2]), (man_res_or_w[0] | man_res_bus_w[1]), man_res_bus_w[0]},
+		man_res_w = (({24{not_exp_res_int2_or_res_w}} & zero_bit_23_w) | ({24{(~ not_exp_res_int2_or_res_w)}} & man_res_int2_w)),
+		mux1_exp_s0a = rnd_add_overf_w,
+		mux1_exp_s1a = implied_bit2a,
+		mux_1_res_w = (({32{infinite_w}} & infi_res_w) | ({32{(~ infinite_w)}} & norm_res_int_w)),
+		mux_2_res_w = (({32{zero_w}} & zero_res_w) | ({32{(~ zero_w)}} & mux_1_res_w)),
+		mux_3_res_w = (({32{nan_w}} & nan_res_w) | ({32{(~ nan_w)}} & mux_2_res_w)),
+		mux_zero_non_zero_S0 = (((exp_zero_bus_w | signed_N_exp_h_or) | bias_addition_overf_w) | (exp_infi_bus_w & (~ exp_sign_w))),
+		mux_zero_non_zero_w = (({24{mux_zero_non_zero_S0}} & man_24_zeros_w) | ({24{(~ mux_zero_non_zero_S0)}} & res_rnded_man_w)),
+		nan_dataa_w = (exp_a_one_w & (man_a_non_zero_w | man_a_one_w)),
+		nan_datab_w = (exp_b_one_w & (man_b_non_zero_w | man_b_one_w)),
+		nan_res_w = {sign_exc_bit_w, exp_exc_ones_w, man_exc_nan_w},
+		nan_w = (((nan_dataa_w | nan_datab_w) | (zero_dataa_w & zero_datab_w)) | (infi_dataa_w & infi_datab_w)),
+		norm_dataa_w = ((exp_a_non_zero_w & ((man_a_zero_w | man_a_non_zero_w) | man_a_one_w)) & (~ exp_a_one_w)),
+		norm_datab_w = ((exp_b_non_zero_w & ((man_b_zero_w | man_b_non_zero_w) | man_b_one_w)) & (~ exp_b_one_w)),
+		norm_infi_and_w = {(norm_infi_and_w[6] & norm_infi_bus_w[7]), (norm_infi_and_w[5] & norm_infi_bus_w[6]), (norm_infi_and_w[4] & norm_infi_bus_w[5]), (norm_infi_and_w[3] & norm_infi_bus_w[4]), (norm_infi_and_w[2] & norm_infi_bus_w[3]), (norm_infi_and_w[1] & norm_infi_bus_w[2]), (norm_infi_and_w[0] & norm_infi_bus_w[1]), norm_infi_bus_w[0]},
+		norm_infi_bus_w = bias_addition_w,
+		norm_res_int_w = {sign_pipe3a, exp_res_w[7:0], man_res_w[22:0]},
+		norm_zero_bus_w = bias_addition_w,
+		norm_zero_or_w = {(norm_zero_or_w[6] | norm_zero_bus_w[7]), (norm_zero_or_w[5] | norm_zero_bus_w[6]), (norm_zero_or_w[4] | norm_zero_bus_w[5]), (norm_zero_or_w[3] | norm_zero_bus_w[4]), (norm_zero_or_w[2] | norm_zero_bus_w[3]), (norm_zero_or_w[1] | norm_zero_bus_w[2]), (norm_zero_or_w[0] | norm_zero_bus_w[1]), norm_zero_bus_w[0]},
+		not_bias_addition_w = (~ bias_addition_w),
+		not_exp_res_int2_or_res_w = (~ exp_res_int2_or_w[7]),
+		overflow_int_w = (((bias_addition_overf_dffe & (~ nan_w)) & (~ infi_combi_w)) & (~ ((norm_dataa_w & (~ zero_dataa_w)) & zero_datab_w))),
+		overflow_man_w = {1'b1, {23{1'b0}}},
+		overflow_ones_w = {8{1'b1}},
+		quo_msb_m1_compare_dataa = {remainder_srt_w, {29{1'b0}}},
+		quo_msb_m1_compare_datab = {{29{1'b0}}, divider_srt_w},
+		quo_msb_m1_compare_w = (((sticky_quo_msb_m1_comparator_upper_upper_agb_w | (sticky_quo_msb_m1_comparator_upper_upper_aeb_w & sticky_quo_msb_m1_comparator_upper_lower_agb_w)) | (sticky_quo_msb_m1_comparator_upper_lower_aeb_w & sticky_quo_msb_m1_comparator_lower_upper_agb_w)) | (sticky_quo_msb_m1_comparator_lower_upper_aeb_w & sticky_quo_msb_m1_comparator_lower_lower_ageb_w)),
+		quo_msb_m1_w = quotient_w[26],
+		quo_msb_m2_compare_dataa = {remainder_srt_w, {30{1'b0}}},
+		quo_msb_m2_compare_datab = {{30{1'b0}}, divider_srt_w},
+		quo_msb_m2_compare_w = (sticky_quo_msb_m2_comparator_upper_agb_w | (sticky_quo_msb_m2_comparator_upper_aeb_w & sticky_quo_msb_m2_comparator_lower_ageb_w)),
+		quotient_msb_m1_w = quotient_w[26:3],
+		quotient_msb_m2_w = quotient_w[25:2],
+		quotient_w = quotient_pipe1a,
+		remainder_srt_w = remainder_pipe1a,
+		res_rnded_man_w = rnded_man_pipe2a,
+		result = result_output_dffe,
+		rnd_add_overf_w = rnd_overflow_dffe,
+		rnd_overflow = wire_altfp_div_csa8_cout,
+		rnded_man_w = (({24{rnd_overflow}} & overflow_man_w) | ({24{(~ rnd_overflow)}} & add_one_process_w)),
+		round_bit_dffe1a_w = round_bit_w,
+		round_bit_quo_msb_m1 = quotient_w[2],
+		round_bit_quo_msb_m2 = quotient_w[1],
+		round_bit_w = ((quo_msb_m1_w & round_bit_quo_msb_m1) | ((~ quo_msb_m1_w) & round_bit_quo_msb_m2)),
+		sign_a_w = sign_a_dffe,
+		sign_b_w = sign_b_dffe,
+		sign_div = (sign_a_w ^ sign_b_w),
+		sign_div_pipeline_w = sign_div_pipeline27c,
+		sign_exc_bit_w = sign_pipe3a,
+		signed_N_exp_h_or = (exp_sign_w & exp_higher_or[1]),
+		sticky_bit_dffe1a_w = sticky_bit_w,
+		sticky_bit_quo_msb_m1 = (quo_msb_m1_compare_w | sticky_bit_quo_msb_m1_or[1]),
+		sticky_bit_quo_msb_m1_bit = sticky_bit_quo_msb_m1_tmp,
+		sticky_bit_quo_msb_m1_or = {(sticky_bit_quo_msb_m1_or[0] | sticky_bit_quo_msb_m1_bit[1]), sticky_bit_quo_msb_m1_bit[0]},
+		sticky_bit_quo_msb_m1_tmp = quotient_w[1:0],
+		sticky_bit_quo_msb_m2 = (quo_msb_m2_compare_w | sticky_bit_quo_msb_m2_or[0]),
+		sticky_bit_quo_msb_m2_bit = sticky_bit_quo_msb_m2_tmp,
+		sticky_bit_quo_msb_m2_or = {sticky_bit_quo_msb_m2_bit[0]},
+		sticky_bit_quo_msb_m2_tmp = quotient_w[0],
+		sticky_bit_w = ((quo_msb_m1_w & sticky_bit_quo_msb_m1) | ((~ quo_msb_m1_w) & sticky_bit_quo_msb_m2)),
+		sticky_quo_msb_m1_comparator_lower_lower_ageb_w = wire_cmpr5_ageb,
+		sticky_quo_msb_m1_comparator_lower_upper_aeb_w = wire_cmpr4_aeb,
+		sticky_quo_msb_m1_comparator_lower_upper_agb_w = wire_cmpr4_agb,
+		sticky_quo_msb_m1_comparator_upper_lower_aeb_w = wire_cmpr3_aeb,
+		sticky_quo_msb_m1_comparator_upper_lower_agb_w = wire_cmpr3_agb,
+		sticky_quo_msb_m1_comparator_upper_upper_aeb_w = wire_cmpr2_aeb,
+		sticky_quo_msb_m1_comparator_upper_upper_agb_w = wire_cmpr2_agb,
+		sticky_quo_msb_m2_comparator_lower_ageb_w = wire_cmpr7_ageb,
+		sticky_quo_msb_m2_comparator_upper_aeb_w = wire_cmpr6_aeb,
+		sticky_quo_msb_m2_comparator_upper_agb_w = wire_cmpr6_agb,
+		underflow_zeros_w = {8{1'b0}},
+		value_add_1_w = 9'b010000000,
+		value_minus_1_w = 9'b001111110,
+		value_normal_w = 9'b001111111,
+		value_zero_w = {9{1'b0}},
+		zero_bit_23_w = {24{1'b0}},
+		zero_bit_31_w = {31{1'b0}},
+		zero_bit_8_w = {8{1'b0}},
+		zero_bit_w = 1'b0,
+		zero_dataa_w = (exp_a_zero_w & man_a_zero_w),
+		zero_datab_w = (exp_b_zero_w & man_b_zero_w),
+		zero_res_w = {sign_exc_bit_w, exp_exc_zeros_w, man_exc_zeros_w},
+		zero_w = (((zero_dataa_w & norm_datab_w) | (norm_dataa_w & infi_datab_w)) | (zero_dataa_w & infi_datab_w));
+endmodule //fpoint_hw_qsys_div_single
+//VALID FILE
+
+//Legal Notice: (C)2010 Altera Corporation. All rights reserved.  Your
+//use of Altera Corporation's design tools, logic functions and other
+//software and tools, and its AMPP partner logic functions, and any
+//output files any of the foregoing (including device programming or
+//simulation files), and any associated documentation or information are
+//expressly subject to the terms and conditions of the Altera Program
+//License Subscription Agreement or other applicable license agreement,
+//including, without limitation, that your use is for the sole purpose
+//of programming logic devices manufactured by Altera and sold by Altera
+//or its authorized distributors.  Please refer to the applicable
+//agreement for further details.
+
+// synthesis translate_off
+`timescale 1ns / 1ps
+// synthesis translate_on
+
+// turn off superfluous verilog processor warnings 
+// altera message_level Level1 
+// altera message_off 10034 10035 10036 10037 10230 10240 10030 
+
+module fpoint_hw_qsys (
+                        // inputs:
+                         clk,
+                         clk_en,
+                         dataa,
+                         datab,
+                         n,
+                         reset,
+                         start,
+
+                        // outputs:
+                         done,
+                         result
+                      )
+;
+
+  output           done;
+  output  [ 31: 0] result;
+  input            clk;
+  input            clk_en;
+  input   [ 31: 0] dataa;
+  input   [ 31: 0] datab;
+  input   [  1: 0] n;
+  input            reset;
+  input            start;
+
+  wire             add_sub;
+  wire    [  5: 0] counter_in;
+  reg     [  5: 0] counter_out;
+  reg     [ 31: 0] dataa_regout;
+  reg     [ 31: 0] datab_regout;
+  wire             done;
+  wire    [  5: 0] load_data;
+  wire             local_reset_n;
+  wire    [ 31: 0] result;
+  wire    [ 31: 0] result_addsub;
+  wire    [ 31: 0] result_div;
+  wire    [ 31: 0] result_mult;
+  //register the input for dataa
+  always @(posedge clk or negedge local_reset_n)
+    begin
+      if (local_reset_n == 0)
+          dataa_regout <= 0;
+      else if (clk_en)
+          dataa_regout <= dataa;
+    end
+
+
+  //register the input for datab
+  always @(posedge clk or negedge local_reset_n)
+    begin
+      if (local_reset_n == 0)
+          datab_regout <= 0;
+      else if (clk_en)
+          datab_regout <= datab;
+    end
+
+
+  fpoint_hw_qsys_mult_single the_fp_mult
+    (
+      .aclr (reset),
+      .clk_en (clk_en),
+      .clock (clk),
+      .dataa (dataa_regout),
+      .datab (datab_regout),
+      .result (result_mult)
+    );
+
+
+  fpoint_hw_qsys_addsub_single the_fp_addsub
+    (
+      .aclr (reset),
+      .add_sub (add_sub),
+      .clk_en (clk_en),
+      .clock (clk),
+      .dataa (dataa_regout),
+      .datab (datab_regout),
+      .result (result_addsub)
+    );
+
+
+  fpoint_hw_qsys_div_single the_fp_div
+    (
+      .aclr (reset),
+      .clk_en (clk_en),
+      .clock (clk),
+      .dataa (dataa_regout),
+      .datab (datab_regout),
+      .result (result_div)
+    );
+
+
+  //s1, which is an e_custom_instruction_slave
+  //down_counter to signal done
+  always @(posedge clk or negedge local_reset_n)
+    begin
+      if (local_reset_n == 0)
+          counter_out <= 6'd33;
+      else if (clk_en)
+          counter_out <= counter_in;
+    end
+
+
+  //decrement or load the counter based on start
+  assign counter_in = (start == 0)? counter_out - 1'b1 :
+    load_data;
+
+  assign add_sub = n[0];
+  assign local_reset_n = ~reset;
+  assign done = clk_en & ~|counter_out & ~start;
+  //select load value of counter based on n
+  assign load_data = (n == 0)? 10 :
+    (n == 1)? 8 :
+    (n == 2)? 8 :
+    33;
+
+  //multiplex output based on n
+  assign result = (n == 0)? result_mult :
+    (n == 1)? result_addsub :
+    (n == 2)? result_addsub :
+    result_div;
+
+
+endmodule
+

+ 3587 - 0
nios2_uc/synthesis/submodules/fpoint_qsys.v

@@ -0,0 +1,3587 @@
+// (C) 2001-2018 Intel Corporation. All rights reserved.
+// Your use of Intel Corporation's design tools, logic functions and other 
+// software and tools, and its AMPP partner logic functions, and any output 
+// files from any of the foregoing (including device programming or simulation 
+// files), and any associated documentation or information are expressly subject 
+// to the terms and conditions of the Intel Program License Subscription 
+// Agreement, Intel FPGA IP License Agreement, or other applicable 
+// license agreement, including, without limitation, that your use is for the 
+// sole purpose of programming logic devices manufactured by Intel and sold by 
+// Intel or its authorized distributors.  Please refer to the applicable 
+// agreement for further details.
+
+
+// Copyright (C) 1991-2010 Altera Corporation
+//  Your use of Altera Corporation's design tools, logic functions 
+//  and other software and tools, and its AMPP partner logic 
+//  functions, and any output files from any of the foregoing 
+//  (including device programming or simulation files), and any 
+//  associated documentation or information are expressly subject 
+//  to the terms and conditions of the Altera Program License 
+//  Subscription Agreement, Altera MegaCore Function License 
+//  Agreement, or other applicable license agreement, including, 
+//  without limitation, that your use is for the sole purpose of 
+//  programming logic devices manufactured by Altera and sold by 
+//  Altera or its authorized distributors.  Please refer to the 
+//  applicable agreement for further details.
+
+
+
+//synthesis_resources = lpm_add_sub 4 lpm_mult 1 reg 254 
+//synopsys translate_off
+`timescale 1 ps / 1 ps
+//synopsys translate_on
+module  fpoint_qsys_mult_single
+	( 
+	aclr,
+	clk_en,
+	clock,
+	dataa,
+	datab,
+	result) /* synthesis synthesis_clearbox=1 */;
+	input   aclr;
+	input   clk_en;
+	input   clock;
+	input   [31:0]  dataa;
+	input   [31:0]  datab;
+	output   [31:0]  result;
+`ifndef ALTERA_RESERVED_QIS
+// synopsys translate_off
+`endif
+	tri0   aclr;
+	tri1   clk_en;
+`ifndef ALTERA_RESERVED_QIS
+// synopsys translate_on
+`endif
+
+	reg	dataa_exp_all_one_ff_p1;
+	reg	dataa_exp_not_zero_ff_p1;
+	reg	dataa_man_not_zero_ff_p1;
+	reg	dataa_man_not_zero_ff_p2;
+	reg	datab_exp_all_one_ff_p1;
+	reg	datab_exp_not_zero_ff_p1;
+	reg	datab_man_not_zero_ff_p1;
+	reg	datab_man_not_zero_ff_p2;
+	reg	[9:0]	delay_exp2_bias;
+	reg	[9:0]	delay_exp3_bias;
+	reg	[9:0]	delay_exp_bias;
+	reg	delay_man_product_msb;
+	reg	delay_man_product_msb2;
+	reg	delay_man_product_msb_p0;
+	reg	[23:0]	delay_round;
+	reg	[8:0]	exp_add_p1;
+	reg	[9:0]	exp_adj_p1;
+	reg	[9:0]	exp_adj_p2;
+	reg	[8:0]	exp_bias_p1;
+	reg	[8:0]	exp_bias_p2;
+	reg	[7:0]	exp_result_ff;
+	reg	input_is_infinity_dffe_0;
+	reg	input_is_infinity_dffe_1;
+	reg	input_is_infinity_dffe_2;
+	reg	input_is_infinity_dffe_3;
+	reg	input_is_infinity_ff1;
+	reg	input_is_infinity_ff2;
+	reg	input_is_infinity_ff3;
+	reg	input_is_infinity_ff4;
+	reg	input_is_nan_dffe_0;
+	reg	input_is_nan_dffe_1;
+	reg	input_is_nan_dffe_2;
+	reg	input_is_nan_dffe_3;
+	reg	input_is_nan_ff1;
+	reg	input_is_nan_ff2;
+	reg	input_is_nan_ff3;
+	reg	input_is_nan_ff4;
+	reg	input_not_zero_dffe_0;
+	reg	input_not_zero_dffe_1;
+	reg	input_not_zero_dffe_2;
+	reg	input_not_zero_dffe_3;
+	reg	input_not_zero_ff1;
+	reg	input_not_zero_ff2;
+	reg	input_not_zero_ff3;
+	reg	input_not_zero_ff4;
+	reg	lsb_dffe;
+	reg	[22:0]	man_result_ff;
+	reg	man_round_carry_p0;
+	reg	[23:0]	man_round_p;
+	reg	[23:0]	man_round_p0;
+	reg	[24:0]	man_round_p2;
+	reg	round_dffe;
+	reg	[0:0]	sign_node_ff0;
+	reg	[0:0]	sign_node_ff1;
+	reg	[0:0]	sign_node_ff2;
+	reg	[0:0]	sign_node_ff3;
+	reg	[0:0]	sign_node_ff4;
+	reg	[0:0]	sign_node_ff5;
+	reg	[0:0]	sign_node_ff6;
+	reg	[0:0]	sign_node_ff7;
+	reg	[0:0]	sign_node_ff8;
+	reg	[0:0]	sign_node_ff9;
+	reg	sticky_dffe;
+	wire  [8:0]   wire_exp_add_adder_result;
+	wire  [9:0]   wire_exp_adj_adder_result;
+	wire  [9:0]   wire_exp_bias_subtr_result;
+	wire  [24:0]   wire_man_round_adder_result;
+	wire  [47:0]   wire_man_product2_mult_result;
+	wire  [9:0]  bias;
+	wire  [7:0]  dataa_exp_all_one;
+	wire  [7:0]  dataa_exp_not_zero;
+	wire  [22:0]  dataa_man_not_zero;
+	wire  [7:0]  datab_exp_all_one;
+	wire  [7:0]  datab_exp_not_zero;
+	wire  [22:0]  datab_man_not_zero;
+	wire  exp_is_inf;
+	wire  exp_is_zero;
+	wire  [9:0]  expmod;
+	wire  [7:0]  inf_num;
+	wire  lsb_bit;
+	wire  [24:0]  man_shift_full;
+	wire  [7:0]  result_exp_all_one;
+	wire  [8:0]  result_exp_not_zero;
+	wire  round_bit;
+	wire  round_carry;
+	wire  [22:0]  sticky_bit;
+
+	// synopsys translate_off
+	initial
+		dataa_exp_all_one_ff_p1 = 0;
+	// synopsys translate_on
+	always @ ( posedge clock or  posedge aclr)
+		if (aclr == 1'b1) dataa_exp_all_one_ff_p1 <= 1'b0;
+		else if  (clk_en == 1'b1)   dataa_exp_all_one_ff_p1 <= dataa_exp_all_one[7];
+	// synopsys translate_off
+	initial
+		dataa_exp_not_zero_ff_p1 = 0;
+	// synopsys translate_on
+	always @ ( posedge clock or  posedge aclr)
+		if (aclr == 1'b1) dataa_exp_not_zero_ff_p1 <= 1'b0;
+		else if  (clk_en == 1'b1)   dataa_exp_not_zero_ff_p1 <= dataa_exp_not_zero[7];
+	// synopsys translate_off
+	initial
+		dataa_man_not_zero_ff_p1 = 0;
+	// synopsys translate_on
+	always @ ( posedge clock or  posedge aclr)
+		if (aclr == 1'b1) dataa_man_not_zero_ff_p1 <= 1'b0;
+		else if  (clk_en == 1'b1)   dataa_man_not_zero_ff_p1 <= dataa_man_not_zero[10];
+	// synopsys translate_off
+	initial
+		dataa_man_not_zero_ff_p2 = 0;
+	// synopsys translate_on
+	always @ ( posedge clock or  posedge aclr)
+		if (aclr == 1'b1) dataa_man_not_zero_ff_p2 <= 1'b0;
+		else if  (clk_en == 1'b1)   dataa_man_not_zero_ff_p2 <= dataa_man_not_zero[22];
+	// synopsys translate_off
+	initial
+		datab_exp_all_one_ff_p1 = 0;
+	// synopsys translate_on
+	always @ ( posedge clock or  posedge aclr)
+		if (aclr == 1'b1) datab_exp_all_one_ff_p1 <= 1'b0;
+		else if  (clk_en == 1'b1)   datab_exp_all_one_ff_p1 <= datab_exp_all_one[7];
+	// synopsys translate_off
+	initial
+		datab_exp_not_zero_ff_p1 = 0;
+	// synopsys translate_on
+	always @ ( posedge clock or  posedge aclr)
+		if (aclr == 1'b1) datab_exp_not_zero_ff_p1 <= 1'b0;
+		else if  (clk_en == 1'b1)   datab_exp_not_zero_ff_p1 <= datab_exp_not_zero[7];
+	// synopsys translate_off
+	initial
+		datab_man_not_zero_ff_p1 = 0;
+	// synopsys translate_on
+	always @ ( posedge clock or  posedge aclr)
+		if (aclr == 1'b1) datab_man_not_zero_ff_p1 <= 1'b0;
+		else if  (clk_en == 1'b1)   datab_man_not_zero_ff_p1 <= datab_man_not_zero[10];
+	// synopsys translate_off
+	initial
+		datab_man_not_zero_ff_p2 = 0;
+	// synopsys translate_on
+	always @ ( posedge clock or  posedge aclr)
+		if (aclr == 1'b1) datab_man_not_zero_ff_p2 <= 1'b0;
+		else if  (clk_en == 1'b1)   datab_man_not_zero_ff_p2 <= datab_man_not_zero[22];
+	// synopsys translate_off
+	initial
+		delay_exp2_bias = 0;
+	// synopsys translate_on
+	always @ ( posedge clock or  posedge aclr)
+		if (aclr == 1'b1) delay_exp2_bias <= 10'b0;
+		else if  (clk_en == 1'b1)   delay_exp2_bias <= delay_exp_bias;
+	// synopsys translate_off
+	initial
+		delay_exp3_bias = 0;
+	// synopsys translate_on
+	always @ ( posedge clock or  posedge aclr)
+		if (aclr == 1'b1) delay_exp3_bias <= 10'b0;
+		else if  (clk_en == 1'b1)   delay_exp3_bias <= delay_exp2_bias;
+	// synopsys translate_off
+	initial
+		delay_exp_bias = 0;
+	// synopsys translate_on
+	always @ ( posedge clock or  posedge aclr)
+		if (aclr == 1'b1) delay_exp_bias <= 10'b0;
+		else if  (clk_en == 1'b1)   delay_exp_bias <= wire_exp_bias_subtr_result;
+	// synopsys translate_off
+	initial
+		delay_man_product_msb = 0;
+	// synopsys translate_on
+	always @ ( posedge clock or  posedge aclr)
+		if (aclr == 1'b1) delay_man_product_msb <= 1'b0;
+		else if  (clk_en == 1'b1)   delay_man_product_msb <= delay_man_product_msb_p0;
+	// synopsys translate_off
+	initial
+		delay_man_product_msb2 = 0;
+	// synopsys translate_on
+	always @ ( posedge clock or  posedge aclr)
+		if (aclr == 1'b1) delay_man_product_msb2 <= 1'b0;
+		else if  (clk_en == 1'b1)   delay_man_product_msb2 <= delay_man_product_msb;
+	// synopsys translate_off
+	initial
+		delay_man_product_msb_p0 = 0;
+	// synopsys translate_on
+	always @ ( posedge clock or  posedge aclr)
+		if (aclr == 1'b1) delay_man_product_msb_p0 <= 1'b0;
+		else if  (clk_en == 1'b1)   delay_man_product_msb_p0 <= wire_man_product2_mult_result[47];
+	// synopsys translate_off
+	initial
+		delay_round = 0;
+	// synopsys translate_on
+	always @ ( posedge clock or  posedge aclr)
+		if (aclr == 1'b1) delay_round <= 24'b0;
+		else if  (clk_en == 1'b1)   delay_round <= ((man_round_p2[23:0] & {24{(~ man_round_p2[24])}}) | (man_round_p2[24:1] & {24{man_round_p2[24]}}));
+	// synopsys translate_off
+	initial
+		exp_add_p1 = 0;
+	// synopsys translate_on
+	always @ ( posedge clock or  posedge aclr)
+		if (aclr == 1'b1) exp_add_p1 <= 9'b0;
+		else if  (clk_en == 1'b1)   exp_add_p1 <= wire_exp_add_adder_result;
+	// synopsys translate_off
+	initial
+		exp_adj_p1 = 0;
+	// synopsys translate_on
+	always @ ( posedge clock or  posedge aclr)
+		if (aclr == 1'b1) exp_adj_p1 <= 10'b0;
+		else if  (clk_en == 1'b1)   exp_adj_p1 <= delay_exp3_bias;
+	// synopsys translate_off
+	initial
+		exp_adj_p2 = 0;
+	// synopsys translate_on
+	always @ ( posedge clock or  posedge aclr)
+		if (aclr == 1'b1) exp_adj_p2 <= 10'b0;
+		else if  (clk_en == 1'b1)   exp_adj_p2 <= wire_exp_adj_adder_result;
+	// synopsys translate_off
+	initial
+		exp_bias_p1 = 0;
+	// synopsys translate_on
+	always @ ( posedge clock or  posedge aclr)
+		if (aclr == 1'b1) exp_bias_p1 <= 9'b0;
+		else if  (clk_en == 1'b1)   exp_bias_p1 <= exp_add_p1[8:0];
+	// synopsys translate_off
+	initial
+		exp_bias_p2 = 0;
+	// synopsys translate_on
+	always @ ( posedge clock or  posedge aclr)
+		if (aclr == 1'b1) exp_bias_p2 <= 9'b0;
+		else if  (clk_en == 1'b1)   exp_bias_p2 <= exp_bias_p1;
+	// synopsys translate_off
+	initial
+		exp_result_ff = 0;
+	// synopsys translate_on
+	always @ ( posedge clock or  posedge aclr)
+		if (aclr == 1'b1) exp_result_ff <= 8'b0;
+		else if  (clk_en == 1'b1)   exp_result_ff <= ((inf_num & {8{((exp_is_inf | input_is_infinity_ff4) | input_is_nan_ff4)}}) | ((exp_adj_p2[7:0] & {8{(~ exp_is_zero)}}) & {8{input_not_zero_ff4}}));
+	// synopsys translate_off
+	initial
+		input_is_infinity_dffe_0 = 0;
+	// synopsys translate_on
+	always @ ( posedge clock or  posedge aclr)
+		if (aclr == 1'b1) input_is_infinity_dffe_0 <= 1'b0;
+		else if  (clk_en == 1'b1)   input_is_infinity_dffe_0 <= ((dataa_exp_all_one_ff_p1 & (~ (dataa_man_not_zero_ff_p1 | dataa_man_not_zero_ff_p2))) | (datab_exp_all_one_ff_p1 & (~ (datab_man_not_zero_ff_p1 | datab_man_not_zero_ff_p2))));
+	// synopsys translate_off
+	initial
+		input_is_infinity_dffe_1 = 0;
+	// synopsys translate_on
+	always @ ( posedge clock or  posedge aclr)
+		if (aclr == 1'b1) input_is_infinity_dffe_1 <= 1'b0;
+		else if  (clk_en == 1'b1)   input_is_infinity_dffe_1 <= input_is_infinity_dffe_0;
+	// synopsys translate_off
+	initial
+		input_is_infinity_dffe_2 = 0;
+	// synopsys translate_on
+	always @ ( posedge clock or  posedge aclr)
+		if (aclr == 1'b1) input_is_infinity_dffe_2 <= 1'b0;
+		else if  (clk_en == 1'b1)   input_is_infinity_dffe_2 <= input_is_infinity_dffe_1;
+	// synopsys translate_off
+	initial
+		input_is_infinity_dffe_3 = 0;
+	// synopsys translate_on
+	always @ ( posedge clock or  posedge aclr)
+		if (aclr == 1'b1) input_is_infinity_dffe_3 <= 1'b0;
+		else if  (clk_en == 1'b1)   input_is_infinity_dffe_3 <= input_is_infinity_dffe_2;
+	// synopsys translate_off
+	initial
+		input_is_infinity_ff1 = 0;
+	// synopsys translate_on
+	always @ ( posedge clock or  posedge aclr)
+		if (aclr == 1'b1) input_is_infinity_ff1 <= 1'b0;
+		else if  (clk_en == 1'b1)   input_is_infinity_ff1 <= input_is_infinity_dffe_3;
+	// synopsys translate_off
+	initial
+		input_is_infinity_ff2 = 0;
+	// synopsys translate_on
+	always @ ( posedge clock or  posedge aclr)
+		if (aclr == 1'b1) input_is_infinity_ff2 <= 1'b0;
+		else if  (clk_en == 1'b1)   input_is_infinity_ff2 <= input_is_infinity_ff1;
+	// synopsys translate_off
+	initial
+		input_is_infinity_ff3 = 0;
+	// synopsys translate_on
+	always @ ( posedge clock or  posedge aclr)
+		if (aclr == 1'b1) input_is_infinity_ff3 <= 1'b0;
+		else if  (clk_en == 1'b1)   input_is_infinity_ff3 <= input_is_infinity_ff2;
+	// synopsys translate_off
+	initial
+		input_is_infinity_ff4 = 0;
+	// synopsys translate_on
+	always @ ( posedge clock or  posedge aclr)
+		if (aclr == 1'b1) input_is_infinity_ff4 <= 1'b0;
+		else if  (clk_en == 1'b1)   input_is_infinity_ff4 <= input_is_infinity_ff3;
+	// synopsys translate_off
+	initial
+		input_is_nan_dffe_0 = 0;
+	// synopsys translate_on
+	always @ ( posedge clock or  posedge aclr)
+		if (aclr == 1'b1) input_is_nan_dffe_0 <= 1'b0;
+		else if  (clk_en == 1'b1)   input_is_nan_dffe_0 <= ((dataa_exp_all_one_ff_p1 & (dataa_man_not_zero_ff_p1 | dataa_man_not_zero_ff_p2)) | (datab_exp_all_one_ff_p1 & (datab_man_not_zero_ff_p1 | datab_man_not_zero_ff_p2)));
+	// synopsys translate_off
+	initial
+		input_is_nan_dffe_1 = 0;
+	// synopsys translate_on
+	always @ ( posedge clock or  posedge aclr)
+		if (aclr == 1'b1) input_is_nan_dffe_1 <= 1'b0;
+		else if  (clk_en == 1'b1)   input_is_nan_dffe_1 <= input_is_nan_dffe_0;
+	// synopsys translate_off
+	initial
+		input_is_nan_dffe_2 = 0;
+	// synopsys translate_on
+	always @ ( posedge clock or  posedge aclr)
+		if (aclr == 1'b1) input_is_nan_dffe_2 <= 1'b0;
+		else if  (clk_en == 1'b1)   input_is_nan_dffe_2 <= input_is_nan_dffe_1;
+	// synopsys translate_off
+	initial
+		input_is_nan_dffe_3 = 0;
+	// synopsys translate_on
+	always @ ( posedge clock or  posedge aclr)
+		if (aclr == 1'b1) input_is_nan_dffe_3 <= 1'b0;
+		else if  (clk_en == 1'b1)   input_is_nan_dffe_3 <= input_is_nan_dffe_2;
+	// synopsys translate_off
+	initial
+		input_is_nan_ff1 = 0;
+	// synopsys translate_on
+	always @ ( posedge clock or  posedge aclr)
+		if (aclr == 1'b1) input_is_nan_ff1 <= 1'b0;
+		else if  (clk_en == 1'b1)   input_is_nan_ff1 <= input_is_nan_dffe_3;
+	// synopsys translate_off
+	initial
+		input_is_nan_ff2 = 0;
+	// synopsys translate_on
+	always @ ( posedge clock or  posedge aclr)
+		if (aclr == 1'b1) input_is_nan_ff2 <= 1'b0;
+		else if  (clk_en == 1'b1)   input_is_nan_ff2 <= input_is_nan_ff1;
+	// synopsys translate_off
+	initial
+		input_is_nan_ff3 = 0;
+	// synopsys translate_on
+	always @ ( posedge clock or  posedge aclr)
+		if (aclr == 1'b1) input_is_nan_ff3 <= 1'b0;
+		else if  (clk_en == 1'b1)   input_is_nan_ff3 <= input_is_nan_ff2;
+	// synopsys translate_off
+	initial
+		input_is_nan_ff4 = 0;
+	// synopsys translate_on
+	always @ ( posedge clock or  posedge aclr)
+		if (aclr == 1'b1) input_is_nan_ff4 <= 1'b0;
+		else if  (clk_en == 1'b1)   input_is_nan_ff4 <= input_is_nan_ff3;
+	// synopsys translate_off
+	initial
+		input_not_zero_dffe_0 = 0;
+	// synopsys translate_on
+	always @ ( posedge clock or  posedge aclr)
+		if (aclr == 1'b1) input_not_zero_dffe_0 <= 1'b0;
+		else if  (clk_en == 1'b1)   input_not_zero_dffe_0 <= (dataa_exp_not_zero_ff_p1 & datab_exp_not_zero_ff_p1);
+	// synopsys translate_off
+	initial
+		input_not_zero_dffe_1 = 0;
+	// synopsys translate_on
+	always @ ( posedge clock or  posedge aclr)
+		if (aclr == 1'b1) input_not_zero_dffe_1 <= 1'b0;
+		else if  (clk_en == 1'b1)   input_not_zero_dffe_1 <= input_not_zero_dffe_0;
+	// synopsys translate_off
+	initial
+		input_not_zero_dffe_2 = 0;
+	// synopsys translate_on
+	always @ ( posedge clock or  posedge aclr)
+		if (aclr == 1'b1) input_not_zero_dffe_2 <= 1'b0;
+		else if  (clk_en == 1'b1)   input_not_zero_dffe_2 <= input_not_zero_dffe_1;
+	// synopsys translate_off
+	initial
+		input_not_zero_dffe_3 = 0;
+	// synopsys translate_on
+	always @ ( posedge clock or  posedge aclr)
+		if (aclr == 1'b1) input_not_zero_dffe_3 <= 1'b0;
+		else if  (clk_en == 1'b1)   input_not_zero_dffe_3 <= input_not_zero_dffe_2;
+	// synopsys translate_off
+	initial
+		input_not_zero_ff1 = 0;
+	// synopsys translate_on
+	always @ ( posedge clock or  posedge aclr)
+		if (aclr == 1'b1) input_not_zero_ff1 <= 1'b0;
+		else if  (clk_en == 1'b1)   input_not_zero_ff1 <= input_not_zero_dffe_3;
+	// synopsys translate_off
+	initial
+		input_not_zero_ff2 = 0;
+	// synopsys translate_on
+	always @ ( posedge clock or  posedge aclr)
+		if (aclr == 1'b1) input_not_zero_ff2 <= 1'b0;
+		else if  (clk_en == 1'b1)   input_not_zero_ff2 <= input_not_zero_ff1;
+	// synopsys translate_off
+	initial
+		input_not_zero_ff3 = 0;
+	// synopsys translate_on
+	always @ ( posedge clock or  posedge aclr)
+		if (aclr == 1'b1) input_not_zero_ff3 <= 1'b0;
+		else if  (clk_en == 1'b1)   input_not_zero_ff3 <= input_not_zero_ff2;
+	// synopsys translate_off
+	initial
+		input_not_zero_ff4 = 0;
+	// synopsys translate_on
+	always @ ( posedge clock or  posedge aclr)
+		if (aclr == 1'b1) input_not_zero_ff4 <= 1'b0;
+		else if  (clk_en == 1'b1)   input_not_zero_ff4 <= input_not_zero_ff3;
+	// synopsys translate_off
+	initial
+		lsb_dffe = 0;
+	// synopsys translate_on
+	always @ ( posedge clock or  posedge aclr)
+		if (aclr == 1'b1) lsb_dffe <= 1'b0;
+		else if  (clk_en == 1'b1)   lsb_dffe <= lsb_bit;
+	// synopsys translate_off
+	initial
+		man_result_ff = 0;
+	// synopsys translate_on
+	always @ ( posedge clock or  posedge aclr)
+		if (aclr == 1'b1) man_result_ff <= 23'b0;
+		else if  (clk_en == 1'b1)   man_result_ff <= {((((((delay_round[22] & input_not_zero_ff4) & (~ input_is_infinity_ff4)) & (~ exp_is_inf)) & (~ exp_is_zero)) | (input_is_infinity_ff4 & (~ input_not_zero_ff4))) | input_is_nan_ff4), (((((delay_round[21:0] & {22{input_not_zero_ff4}}) & {22{(~ input_is_infinity_ff4)}}) & {22{(~ exp_is_inf)}}) & {22{(~ exp_is_zero)}}) & {22{(~ input_is_nan_ff4)}})};
+	// synopsys translate_off
+	initial
+		man_round_carry_p0 = 0;
+	// synopsys translate_on
+	always @ ( posedge clock or  posedge aclr)
+		if (aclr == 1'b1) man_round_carry_p0 <= 1'b0;
+		else if  (clk_en == 1'b1)   man_round_carry_p0 <= round_carry;
+	// synopsys translate_off
+	initial
+		man_round_p = 0;
+	// synopsys translate_on
+	always @ ( posedge clock or  posedge aclr)
+		if (aclr == 1'b1) man_round_p <= 24'b0;
+		else if  (clk_en == 1'b1)   man_round_p <= man_shift_full[24:1];
+	// synopsys translate_off
+	initial
+		man_round_p0 = 0;
+	// synopsys translate_on
+	always @ ( posedge clock or  posedge aclr)
+		if (aclr == 1'b1) man_round_p0 <= 24'b0;
+		else if  (clk_en == 1'b1)   man_round_p0 <= man_round_p;
+	// synopsys translate_off
+	initial
+		man_round_p2 = 0;
+	// synopsys translate_on
+	always @ ( posedge clock or  posedge aclr)
+		if (aclr == 1'b1) man_round_p2 <= 25'b0;
+		else if  (clk_en == 1'b1)   man_round_p2 <= wire_man_round_adder_result;
+	// synopsys translate_off
+	initial
+		round_dffe = 0;
+	// synopsys translate_on
+	always @ ( posedge clock or  posedge aclr)
+		if (aclr == 1'b1) round_dffe <= 1'b0;
+		else if  (clk_en == 1'b1)   round_dffe <= round_bit;
+	// synopsys translate_off
+	initial
+		sign_node_ff0 = 0;
+	// synopsys translate_on
+	always @ ( posedge clock or  posedge aclr)
+		if (aclr == 1'b1) sign_node_ff0 <= 1'b0;
+		else if  (clk_en == 1'b1)   sign_node_ff0 <= (dataa[31] ^ datab[31]);
+	// synopsys translate_off
+	initial
+		sign_node_ff1 = 0;
+	// synopsys translate_on
+	always @ ( posedge clock or  posedge aclr)
+		if (aclr == 1'b1) sign_node_ff1 <= 1'b0;
+		else if  (clk_en == 1'b1)   sign_node_ff1 <= sign_node_ff0[0:0];
+	// synopsys translate_off
+	initial
+		sign_node_ff2 = 0;
+	// synopsys translate_on
+	always @ ( posedge clock or  posedge aclr)
+		if (aclr == 1'b1) sign_node_ff2 <= 1'b0;
+		else if  (clk_en == 1'b1)   sign_node_ff2 <= sign_node_ff1[0:0];
+	// synopsys translate_off
+	initial
+		sign_node_ff3 = 0;
+	// synopsys translate_on
+	always @ ( posedge clock or  posedge aclr)
+		if (aclr == 1'b1) sign_node_ff3 <= 1'b0;
+		else if  (clk_en == 1'b1)   sign_node_ff3 <= sign_node_ff2[0:0];
+	// synopsys translate_off
+	initial
+		sign_node_ff4 = 0;
+	// synopsys translate_on
+	always @ ( posedge clock or  posedge aclr)
+		if (aclr == 1'b1) sign_node_ff4 <= 1'b0;
+		else if  (clk_en == 1'b1)   sign_node_ff4 <= sign_node_ff3[0:0];
+	// synopsys translate_off
+	initial
+		sign_node_ff5 = 0;
+	// synopsys translate_on
+	always @ ( posedge clock or  posedge aclr)
+		if (aclr == 1'b1) sign_node_ff5 <= 1'b0;
+		else if  (clk_en == 1'b1)   sign_node_ff5 <= sign_node_ff4[0:0];
+	// synopsys translate_off
+	initial
+		sign_node_ff6 = 0;
+	// synopsys translate_on
+	always @ ( posedge clock or  posedge aclr)
+		if (aclr == 1'b1) sign_node_ff6 <= 1'b0;
+		else if  (clk_en == 1'b1)   sign_node_ff6 <= sign_node_ff5[0:0];
+	// synopsys translate_off
+	initial
+		sign_node_ff7 = 0;
+	// synopsys translate_on
+	always @ ( posedge clock or  posedge aclr)
+		if (aclr == 1'b1) sign_node_ff7 <= 1'b0;
+		else if  (clk_en == 1'b1)   sign_node_ff7 <= sign_node_ff6[0:0];
+	// synopsys translate_off
+	initial
+		sign_node_ff8 = 0;
+	// synopsys translate_on
+	always @ ( posedge clock or  posedge aclr)
+		if (aclr == 1'b1) sign_node_ff8 <= 1'b0;
+		else if  (clk_en == 1'b1)   sign_node_ff8 <= sign_node_ff7[0:0];
+	// synopsys translate_off
+	initial
+		sign_node_ff9 = 0;
+	// synopsys translate_on
+	always @ ( posedge clock or  posedge aclr)
+		if (aclr == 1'b1) sign_node_ff9 <= 1'b0;
+		else if  (clk_en == 1'b1)   sign_node_ff9 <= sign_node_ff8[0:0];
+	// synopsys translate_off
+	initial
+		sticky_dffe = 0;
+	// synopsys translate_on
+	always @ ( posedge clock or  posedge aclr)
+		if (aclr == 1'b1) sticky_dffe <= 1'b0;
+		else if  (clk_en == 1'b1)   sticky_dffe <= sticky_bit[22];
+	lpm_add_sub   exp_add_adder
+	( 
+	.aclr(aclr),
+	.cin(1'b0),
+	.clken(clk_en),
+	.clock(clock),
+	.cout(),
+	.dataa({1'b0, dataa[30:23]}),
+	.datab({1'b0, datab[30:23]}),
+	.overflow(),
+	.result(wire_exp_add_adder_result)
+	`ifndef FORMAL_VERIFICATION
+	// synopsys translate_off
+	`endif
+	,
+	.add_sub(1'b1)
+	`ifndef FORMAL_VERIFICATION
+	// synopsys translate_on
+	`endif
+	);
+	defparam
+		exp_add_adder.lpm_pipeline = 1,
+		exp_add_adder.lpm_width = 9,
+		exp_add_adder.lpm_type = "lpm_add_sub";
+	lpm_add_sub   exp_adj_adder
+	( 
+	.cin(1'b0),
+	.cout(),
+	.dataa(exp_adj_p1),
+	.datab({expmod[9:0]}),
+	.overflow(),
+	.result(wire_exp_adj_adder_result)
+	`ifndef FORMAL_VERIFICATION
+	// synopsys translate_off
+	`endif
+	,
+	.aclr(1'b0),
+	.add_sub(1'b1),
+	.clken(1'b1),
+	.clock(1'b0)
+	`ifndef FORMAL_VERIFICATION
+	// synopsys translate_on
+	`endif
+	);
+	defparam
+		exp_adj_adder.lpm_pipeline = 0,
+		exp_adj_adder.lpm_width = 10,
+		exp_adj_adder.lpm_type = "lpm_add_sub";
+	lpm_add_sub   exp_bias_subtr
+	( 
+	.cout(),
+	.dataa({1'b0, exp_bias_p2}),
+	.datab({bias[9:0]}),
+	.overflow(),
+	.result(wire_exp_bias_subtr_result)
+	`ifndef FORMAL_VERIFICATION
+	// synopsys translate_off
+	`endif
+	,
+	.aclr(1'b0),
+	.add_sub(1'b1),
+	.cin(),
+	.clken(1'b1),
+	.clock(1'b0)
+	`ifndef FORMAL_VERIFICATION
+	// synopsys translate_on
+	`endif
+	);
+	defparam
+		exp_bias_subtr.lpm_direction = "SUB",
+		exp_bias_subtr.lpm_pipeline = 0,
+		exp_bias_subtr.lpm_representation = "UNSIGNED",
+		exp_bias_subtr.lpm_width = 10,
+		exp_bias_subtr.lpm_type = "lpm_add_sub";
+	lpm_add_sub   man_round_adder
+	( 
+	.cout(),
+	.dataa({1'b0, man_round_p0}),
+	.datab({{24{1'b0}}, man_round_carry_p0}),
+	.overflow(),
+	.result(wire_man_round_adder_result)
+	`ifndef FORMAL_VERIFICATION
+	// synopsys translate_off
+	`endif
+	,
+	.aclr(1'b0),
+	.add_sub(1'b1),
+	.cin(),
+	.clken(1'b1),
+	.clock(1'b0)
+	`ifndef FORMAL_VERIFICATION
+	// synopsys translate_on
+	`endif
+	);
+	defparam
+		man_round_adder.lpm_pipeline = 0,
+		man_round_adder.lpm_width = 25,
+		man_round_adder.lpm_type = "lpm_add_sub";
+	lpm_mult   man_product2_mult
+	( 
+	.aclr(aclr),
+	.clken(clk_en),
+	.clock(clock),
+	.dataa({1'b1, dataa[22:0]}),
+	.datab({1'b1, datab[22:0]}),
+	.result(wire_man_product2_mult_result)
+	`ifndef FORMAL_VERIFICATION
+	// synopsys translate_off
+	`endif
+	,
+	.sum({1{1'b0}})
+	`ifndef FORMAL_VERIFICATION
+	// synopsys translate_on
+	`endif
+	);
+	defparam
+		man_product2_mult.lpm_pipeline = 5,
+		man_product2_mult.lpm_representation = "UNSIGNED",
+		man_product2_mult.lpm_widtha = 24,
+		man_product2_mult.lpm_widthb = 24,
+		man_product2_mult.lpm_widthp = 48,
+		man_product2_mult.lpm_widths = 1,
+		man_product2_mult.lpm_type = "lpm_mult",
+		man_product2_mult.lpm_hint = "DEDICATED_MULTIPLIER_CIRCUITRY=YES";
+	assign
+		bias = {{3{1'b0}}, {7{1'b1}}},
+		dataa_exp_all_one = {(dataa[30] & dataa_exp_all_one[6]), (dataa[29] & dataa_exp_all_one[5]), (dataa[28] & dataa_exp_all_one[4]), (dataa[27] & dataa_exp_all_one[3]), (dataa[26] & dataa_exp_all_one[2]), (dataa[25] & dataa_exp_all_one[1]), (dataa[24] & dataa_exp_all_one[0]), dataa[23]},
+		dataa_exp_not_zero = {(dataa[30] | dataa_exp_not_zero[6]), (dataa[29] | dataa_exp_not_zero[5]), (dataa[28] | dataa_exp_not_zero[4]), (dataa[27] | dataa_exp_not_zero[3]), (dataa[26] | dataa_exp_not_zero[2]), (dataa[25] | dataa_exp_not_zero[1]), (dataa[24] | dataa_exp_not_zero[0]), dataa[23]},
+		dataa_man_not_zero = {(dataa[22] | dataa_man_not_zero[21]), (dataa[21] | dataa_man_not_zero[20]), (dataa[20] | dataa_man_not_zero[19]), (dataa[19] | dataa_man_not_zero[18]), (dataa[18] | dataa_man_not_zero[17]), (dataa[17] | dataa_man_not_zero[16]), (dataa[16] | dataa_man_not_zero[15]), (dataa[15] | dataa_man_not_zero[14]), (dataa[14] | dataa_man_not_zero[13]), (dataa[13] | dataa_man_not_zero[12]), (dataa[12] | dataa_man_not_zero[11]), dataa[11], (dataa[10] | dataa_man_not_zero[9]), (dataa[9] | dataa_man_not_zero[8]), (dataa[8] | dataa_man_not_zero[7]), (dataa[7] | dataa_man_not_zero[6]), (dataa[6] | dataa_man_not_zero[5]), (dataa[5] | dataa_man_not_zero[4]), (dataa[4] | dataa_man_not_zero[3]), (dataa[3] | dataa_man_not_zero[2]), (dataa[2] | dataa_man_not_zero[1]), (dataa[1] | dataa_man_not_zero[0]), dataa[0]},
+		datab_exp_all_one = {(datab[30] & datab_exp_all_one[6]), (datab[29] & datab_exp_all_one[5]), (datab[28] & datab_exp_all_one[4]), (datab[27] & datab_exp_all_one[3]), (datab[26] & datab_exp_all_one[2]), (datab[25] & datab_exp_all_one[1]), (datab[24] & datab_exp_all_one[0]), datab[23]},
+		datab_exp_not_zero = {(datab[30] | datab_exp_not_zero[6]), (datab[29] | datab_exp_not_zero[5]), (datab[28] | datab_exp_not_zero[4]), (datab[27] | datab_exp_not_zero[3]), (datab[26] | datab_exp_not_zero[2]), (datab[25] | datab_exp_not_zero[1]), (datab[24] | datab_exp_not_zero[0]), datab[23]},
+		datab_man_not_zero = {(datab[22] | datab_man_not_zero[21]), (datab[21] | datab_man_not_zero[20]), (datab[20] | datab_man_not_zero[19]), (datab[19] | datab_man_not_zero[18]), (datab[18] | datab_man_not_zero[17]), (datab[17] | datab_man_not_zero[16]), (datab[16] | datab_man_not_zero[15]), (datab[15] | datab_man_not_zero[14]), (datab[14] | datab_man_not_zero[13]), (datab[13] | datab_man_not_zero[12]), (datab[12] | datab_man_not_zero[11]), datab[11], (datab[10] | datab_man_not_zero[9]), (datab[9] | datab_man_not_zero[8]), (datab[8] | datab_man_not_zero[7]), (datab[7] | datab_man_not_zero[6]), (datab[6] | datab_man_not_zero[5]), (datab[5] | datab_man_not_zero[4]), (datab[4] | datab_man_not_zero[3]), (datab[3] | datab_man_not_zero[2]), (datab[2] | datab_man_not_zero[1]), (datab[1] | datab_man_not_zero[0]), datab[0]},
+		exp_is_inf = (((~ exp_adj_p2[9]) & exp_adj_p2[8]) | ((~ exp_adj_p2[8]) & result_exp_all_one[7])),
+		exp_is_zero = (exp_adj_p2[9] | (~ result_exp_not_zero[8])),
+		expmod = {{8{1'b0}}, (delay_man_product_msb2 & man_round_p2[24]), (delay_man_product_msb2 ^ man_round_p2[24])},
+		inf_num = {8{1'b1}},
+		lsb_bit = man_shift_full[1],
+		man_shift_full = ((wire_man_product2_mult_result[46:22] & {25{(~ wire_man_product2_mult_result[47])}}) | (wire_man_product2_mult_result[47:23] & {25{wire_man_product2_mult_result[47]}})),
+		result = {sign_node_ff9[0:0], exp_result_ff[7:0], man_result_ff[22:0]},
+		result_exp_all_one = {(result_exp_all_one[6] & exp_adj_p2[7]), (result_exp_all_one[5] & exp_adj_p2[6]), (result_exp_all_one[4] & exp_adj_p2[5]), (result_exp_all_one[3] & exp_adj_p2[4]), (result_exp_all_one[2] & exp_adj_p2[3]), (result_exp_all_one[1] & exp_adj_p2[2]), (result_exp_all_one[0] & exp_adj_p2[1]), exp_adj_p2[0]},
+		result_exp_not_zero = {(result_exp_not_zero[7] | exp_adj_p2[8]), (result_exp_not_zero[6] | exp_adj_p2[7]), (result_exp_not_zero[5] | exp_adj_p2[6]), (result_exp_not_zero[4] | exp_adj_p2[5]), (result_exp_not_zero[3] | exp_adj_p2[4]), (result_exp_not_zero[2] | exp_adj_p2[3]), (result_exp_not_zero[1] | exp_adj_p2[2]), (result_exp_not_zero[0] | exp_adj_p2[1]), exp_adj_p2[0]},
+		round_bit = man_shift_full[0],
+		round_carry = (round_dffe & (lsb_dffe | sticky_dffe)),
+		sticky_bit = {(sticky_bit[21] | (wire_man_product2_mult_result[47] & wire_man_product2_mult_result[22])), (sticky_bit[20] | wire_man_product2_mult_result[21]), (sticky_bit[19] | wire_man_product2_mult_result[20]), (sticky_bit[18] | wire_man_product2_mult_result[19]), (sticky_bit[17] | wire_man_product2_mult_result[18]), (sticky_bit[16] | wire_man_product2_mult_result[17]), (sticky_bit[15] | wire_man_product2_mult_result[16]), (sticky_bit[14] | wire_man_product2_mult_result[15]), (sticky_bit[13] | wire_man_product2_mult_result[14]), (sticky_bit[12] | wire_man_product2_mult_result[13]), (sticky_bit[11] | wire_man_product2_mult_result[12]), (sticky_bit[10] | wire_man_product2_mult_result[11]), (sticky_bit[9] | wire_man_product2_mult_result[10]), (sticky_bit[8] | wire_man_product2_mult_result[9]), (sticky_bit[7] | wire_man_product2_mult_result[8]), (sticky_bit[6] | wire_man_product2_mult_result[7]), (sticky_bit[5] | wire_man_product2_mult_result[6]), (sticky_bit[4] | wire_man_product2_mult_result[5]), (sticky_bit[3] | wire_man_product2_mult_result[4]), (sticky_bit[2] | wire_man_product2_mult_result[3]), (sticky_bit[1] | wire_man_product2_mult_result[2]), (sticky_bit[0] | wire_man_product2_mult_result[1]), wire_man_product2_mult_result[0]};
+endmodule //fpoint_qsys_mult_single
+//VALID FILE
+
+//altfp_add_sub CBX_AUTO_BLACKBOX="ON" CBX_SINGLE_OUTPUT_FILE="ON" DENORMAL_SUPPORT="NO" DEVICE_FAMILY="STRATIXIV" DIRECTION="VARIABLE" EXCEPTION_HANDLING="NO" PIPELINE=8 REDUCED_FUNCTIONALITY="NO" SPEED_OPTIMIZED="YES" WIDTH_EXP=8 WIDTH_MAN=23 aclr add_sub clk_en clock dataa datab result
+//VERSION_BEGIN 10.1 cbx_altbarrel_shift 2010:09:06:21:07:24:PN cbx_altfp_add_sub 2010:09:06:21:07:24:PN cbx_altpriority_encoder 2010:09:06:21:07:25:PN cbx_cycloneii 2010:09:06:21:07:25:PN cbx_lpm_add_sub 2010:09:06:21:07:25:PN cbx_lpm_compare 2010:09:06:21:07:25:PN cbx_mgl 2010:09:06:21:22:18:PN cbx_stratix 2010:09:06:21:07:25:PN cbx_stratixii 2010:09:06:21:07:25:PN  VERSION_END
+// synthesis VERILOG_INPUT_VERSION VERILOG_2001
+// altera message_off 10463
+
+
+
+// Copyright (C) 1991-2010 Altera Corporation
+//  Your use of Altera Corporation's design tools, logic functions 
+//  and other software and tools, and its AMPP partner logic 
+//  functions, and any output files from any of the foregoing 
+//  (including device programming or simulation files), and any 
+//  associated documentation or information are expressly subject 
+//  to the terms and conditions of the Altera Program License 
+//  Subscription Agreement, Altera MegaCore Function License 
+//  Agreement, or other applicable license agreement, including, 
+//  without limitation, that your use is for the sole purpose of 
+//  programming logic devices manufactured by Altera and sold by 
+//  Altera or its authorized distributors.  Please refer to the 
+//  applicable agreement for further details.
+
+
+
+
+//altbarrel_shift CBX_AUTO_BLACKBOX="ON" CBX_SINGLE_OUTPUT_FILE="ON" DEVICE_FAMILY="STRATIXIV" PIPELINE=1 SHIFTDIR="LEFT" WIDTH=26 WIDTHDIST=5 aclr clk_en clock data distance result
+//VERSION_BEGIN 10.1 cbx_altbarrel_shift 2010:09:06:21:07:24:PN cbx_mgl 2010:09:06:21:22:18:PN  VERSION_END
+
+//synthesis_resources = reg 27 
+//synopsys translate_off
+`timescale 1 ps / 1 ps
+//synopsys translate_on
+module  fpoint_qsys_addsub_single_altbarrel_shift_fjg
+	( 
+	aclr,
+	clk_en,
+	clock,
+	data,
+	distance,
+	result) /* synthesis synthesis_clearbox=1 */;
+	input   aclr;
+	input   clk_en;
+	input   clock;
+	input   [25:0]  data;
+	input   [4:0]  distance;
+	output   [25:0]  result;
+`ifndef ALTERA_RESERVED_QIS
+// synopsys translate_off
+`endif
+	tri0   aclr;
+	tri1   clk_en;
+	tri0   clock;
+`ifndef ALTERA_RESERVED_QIS
+// synopsys translate_on
+`endif
+
+	reg	[0:0]	dir_pipe;
+	reg	[25:0]	sbit_piper1d;
+	wire  [5:0]  dir_w;
+	wire  direction_w;
+	wire  [15:0]  pad_w;
+	wire  [155:0]  sbit_w;
+	wire  [4:0]  sel_w;
+	wire  [129:0]  smux_w;
+
+	// synopsys translate_off
+	initial
+		dir_pipe = 0;
+	// synopsys translate_on
+	always @ ( posedge clock or  posedge aclr)
+		if (aclr == 1'b1) dir_pipe <= 1'b0;
+		else if  (clk_en == 1'b1)   dir_pipe <= {dir_w[4]};
+	// synopsys translate_off
+	initial
+		sbit_piper1d = 0;
+	// synopsys translate_on
+	always @ ( posedge clock or  posedge aclr)
+		if (aclr == 1'b1) sbit_piper1d <= 26'b0;
+		else if  (clk_en == 1'b1)   sbit_piper1d <= smux_w[129:104];
+	assign
+		dir_w = {dir_pipe[0], dir_w[3:0], direction_w},
+		direction_w = 1'b0,
+		pad_w = {16{1'b0}},
+		result = sbit_w[155:130],
+		sbit_w = {sbit_piper1d, smux_w[103:0], data},
+		sel_w = {distance[4:0]},
+		smux_w = {((({26{(sel_w[4] & (~ dir_w[4]))}} & {sbit_w[113:104], pad_w[15:0]}) | ({26{(sel_w[4] & dir_w[4])}} & {pad_w[15:0], sbit_w[129:120]})) | ({26{(~ sel_w[4])}} & sbit_w[129:104])), ((({26{(sel_w[3] & (~ dir_w[3]))}} & {sbit_w[95:78], pad_w[7:0]}) | ({26{(sel_w[3] & dir_w[3])}} & {pad_w[7:0], sbit_w[103:86]})) | ({26{(~ sel_w[3])}} & sbit_w[103:78])), ((({26{(sel_w[2] & (~ dir_w[2]))}} & {sbit_w[73:52], pad_w[3:0]}) | ({26{(sel_w[2] & dir_w[2])}} & {pad_w[3:0], sbit_w[77:56]})) | ({26{(~ sel_w[2])}} & sbit_w[77:52])), ((({26{(sel_w[1] & (~ dir_w[1]))}} & {sbit_w[49:26], pad_w[1:0]}) | ({26{(sel_w[1] & dir_w[1])}} & {pad_w[1:0], sbit_w[51:28]})) | ({26{(~ sel_w[1])}} & sbit_w[51:26])), ((({26{(sel_w[0] & (~ dir_w[0]))}} & {sbit_w[24:0], pad_w[0]}) | ({26{(sel_w[0] & dir_w[0])}} & {pad_w[0], sbit_w[25:1]})) | ({26{(~ sel_w[0])}} & sbit_w[25:0]))};
+endmodule //fpoint_qsys_addsub_single_altbarrel_shift_fjg
+
+
+//altbarrel_shift CBX_AUTO_BLACKBOX="ON" CBX_SINGLE_OUTPUT_FILE="ON" DEVICE_FAMILY="STRATIXIV" SHIFTDIR="RIGHT" WIDTH=26 WIDTHDIST=5 data distance result
+//VERSION_BEGIN 10.1 cbx_altbarrel_shift 2010:09:06:21:07:24:PN cbx_mgl 2010:09:06:21:22:18:PN  VERSION_END
+
+//synthesis_resources = 
+//synopsys translate_off
+`timescale 1 ps / 1 ps
+//synopsys translate_on
+module  fpoint_qsys_addsub_single_altbarrel_shift_44e
+	( 
+	data,
+	distance,
+	result) /* synthesis synthesis_clearbox=1 */;
+	input   [25:0]  data;
+	input   [4:0]  distance;
+	output   [25:0]  result;
+
+	wire  [5:0]  dir_w;
+	wire  direction_w;
+	wire  [15:0]  pad_w;
+	wire  [155:0]  sbit_w;
+	wire  [4:0]  sel_w;
+	wire  [129:0]  smux_w;
+
+	assign
+		dir_w = {dir_w[4:0], direction_w},
+		direction_w = 1'b1,
+		pad_w = {16{1'b0}},
+		result = sbit_w[155:130],
+		sbit_w = {smux_w[129:0], data},
+		sel_w = {distance[4:0]},
+		smux_w = {((({26{(sel_w[4] & (~ dir_w[4]))}} & {sbit_w[113:104], pad_w[15:0]}) | ({26{(sel_w[4] & dir_w[4])}} & {pad_w[15:0], sbit_w[129:120]})) | ({26{(~ sel_w[4])}} & sbit_w[129:104])), ((({26{(sel_w[3] & (~ dir_w[3]))}} & {sbit_w[95:78], pad_w[7:0]}) | ({26{(sel_w[3] & dir_w[3])}} & {pad_w[7:0], sbit_w[103:86]})) | ({26{(~ sel_w[3])}} & sbit_w[103:78])), ((({26{(sel_w[2] & (~ dir_w[2]))}} & {sbit_w[73:52], pad_w[3:0]}) | ({26{(sel_w[2] & dir_w[2])}} & {pad_w[3:0], sbit_w[77:56]})) | ({26{(~ sel_w[2])}} & sbit_w[77:52])), ((({26{(sel_w[1] & (~ dir_w[1]))}} & {sbit_w[49:26], pad_w[1:0]}) | ({26{(sel_w[1] & dir_w[1])}} & {pad_w[1:0], sbit_w[51:28]})) | ({26{(~ sel_w[1])}} & sbit_w[51:26])), ((({26{(sel_w[0] & (~ dir_w[0]))}} & {sbit_w[24:0], pad_w[0]}) | ({26{(sel_w[0] & dir_w[0])}} & {pad_w[0], sbit_w[25:1]})) | ({26{(~ sel_w[0])}} & sbit_w[25:0]))};
+endmodule //fpoint_qsys_addsub_single_altbarrel_shift_44e
+
+
+//altpriority_encoder CBX_AUTO_BLACKBOX="ON" CBX_SINGLE_OUTPUT_FILE="ON" WIDTH=32 WIDTHAD=5 data q
+//VERSION_BEGIN 10.1 cbx_altpriority_encoder 2010:09:06:21:07:25:PN cbx_mgl 2010:09:06:21:22:18:PN  VERSION_END
+
+
+//altpriority_encoder CBX_AUTO_BLACKBOX="ON" CBX_SINGLE_OUTPUT_FILE="ON" LSB_PRIORITY="NO" WIDTH=16 WIDTHAD=4 data q
+//VERSION_BEGIN 10.1 cbx_altpriority_encoder 2010:09:06:21:07:25:PN cbx_mgl 2010:09:06:21:22:18:PN  VERSION_END
+
+
+//altpriority_encoder CBX_AUTO_BLACKBOX="ON" CBX_SINGLE_OUTPUT_FILE="ON" LSB_PRIORITY="NO" WIDTH=8 WIDTHAD=3 data q zero
+//VERSION_BEGIN 10.1 cbx_altpriority_encoder 2010:09:06:21:07:25:PN cbx_mgl 2010:09:06:21:22:18:PN  VERSION_END
+
+
+//altpriority_encoder CBX_AUTO_BLACKBOX="ON" CBX_SINGLE_OUTPUT_FILE="ON" LSB_PRIORITY="NO" WIDTH=4 WIDTHAD=2 data q zero
+//VERSION_BEGIN 10.1 cbx_altpriority_encoder 2010:09:06:21:07:25:PN cbx_mgl 2010:09:06:21:22:18:PN  VERSION_END
+
+
+//altpriority_encoder CBX_AUTO_BLACKBOX="ON" CBX_SINGLE_OUTPUT_FILE="ON" LSB_PRIORITY="NO" WIDTH=2 WIDTHAD=1 data q zero
+//VERSION_BEGIN 10.1 cbx_altpriority_encoder 2010:09:06:21:07:25:PN cbx_mgl 2010:09:06:21:22:18:PN  VERSION_END
+
+//synthesis_resources = 
+//synopsys translate_off
+`timescale 1 ps / 1 ps
+//synopsys translate_on
+module  fpoint_qsys_addsub_single_altpriority_encoder_i0b
+	( 
+	data,
+	q,
+	zero) /* synthesis synthesis_clearbox=1 */;
+	input   [1:0]  data;
+	output   [0:0]  q;
+	output   zero;
+
+
+	assign
+		q = {data[1]},
+		zero = (~ (data[0] | data[1]));
+endmodule //fpoint_qsys_addsub_single_altpriority_encoder_i0b
+
+//synthesis_resources = 
+//synopsys translate_off
+`timescale 1 ps / 1 ps
+//synopsys translate_on
+module  fpoint_qsys_addsub_single_altpriority_encoder_l0b
+	( 
+	data,
+	q,
+	zero) /* synthesis synthesis_clearbox=1 */;
+	input   [3:0]  data;
+	output   [1:0]  q;
+	output   zero;
+
+	wire  [0:0]   wire_altpriority_encoder13_q;
+	wire  wire_altpriority_encoder13_zero;
+	wire  [0:0]   wire_altpriority_encoder14_q;
+	wire  wire_altpriority_encoder14_zero;
+
+	fpoint_qsys_addsub_single_altpriority_encoder_i0b   altpriority_encoder13
+	( 
+	.data(data[1:0]),
+	.q(wire_altpriority_encoder13_q),
+	.zero(wire_altpriority_encoder13_zero));
+	fpoint_qsys_addsub_single_altpriority_encoder_i0b   altpriority_encoder14
+	( 
+	.data(data[3:2]),
+	.q(wire_altpriority_encoder14_q),
+	.zero(wire_altpriority_encoder14_zero));
+	assign
+		q = {(~ wire_altpriority_encoder14_zero), ((wire_altpriority_encoder14_zero & wire_altpriority_encoder13_q) | ((~ wire_altpriority_encoder14_zero) & wire_altpriority_encoder14_q))},
+		zero = (wire_altpriority_encoder13_zero & wire_altpriority_encoder14_zero);
+endmodule //fpoint_qsys_addsub_single_altpriority_encoder_l0b
+
+//synthesis_resources = 
+//synopsys translate_off
+`timescale 1 ps / 1 ps
+//synopsys translate_on
+module  fpoint_qsys_addsub_single_altpriority_encoder_q0b
+	( 
+	data,
+	q,
+	zero) /* synthesis synthesis_clearbox=1 */;
+	input   [7:0]  data;
+	output   [2:0]  q;
+	output   zero;
+
+	wire  [1:0]   wire_altpriority_encoder11_q;
+	wire  wire_altpriority_encoder11_zero;
+	wire  [1:0]   wire_altpriority_encoder12_q;
+	wire  wire_altpriority_encoder12_zero;
+
+	fpoint_qsys_addsub_single_altpriority_encoder_l0b   altpriority_encoder11
+	( 
+	.data(data[3:0]),
+	.q(wire_altpriority_encoder11_q),
+	.zero(wire_altpriority_encoder11_zero));
+	fpoint_qsys_addsub_single_altpriority_encoder_l0b   altpriority_encoder12
+	( 
+	.data(data[7:4]),
+	.q(wire_altpriority_encoder12_q),
+	.zero(wire_altpriority_encoder12_zero));
+	assign
+		q = {(~ wire_altpriority_encoder12_zero), (({2{wire_altpriority_encoder12_zero}} & wire_altpriority_encoder11_q) | ({2{(~ wire_altpriority_encoder12_zero)}} & wire_altpriority_encoder12_q))},
+		zero = (wire_altpriority_encoder11_zero & wire_altpriority_encoder12_zero);
+endmodule //fpoint_qsys_addsub_single_altpriority_encoder_q0b
+
+
+//altpriority_encoder CBX_AUTO_BLACKBOX="ON" CBX_SINGLE_OUTPUT_FILE="ON" LSB_PRIORITY="NO" WIDTH=8 WIDTHAD=3 data q
+//VERSION_BEGIN 10.1 cbx_altpriority_encoder 2010:09:06:21:07:25:PN cbx_mgl 2010:09:06:21:22:18:PN  VERSION_END
+
+
+//altpriority_encoder CBX_AUTO_BLACKBOX="ON" CBX_SINGLE_OUTPUT_FILE="ON" LSB_PRIORITY="NO" WIDTH=4 WIDTHAD=2 data q
+//VERSION_BEGIN 10.1 cbx_altpriority_encoder 2010:09:06:21:07:25:PN cbx_mgl 2010:09:06:21:22:18:PN  VERSION_END
+
+
+//altpriority_encoder CBX_AUTO_BLACKBOX="ON" CBX_SINGLE_OUTPUT_FILE="ON" LSB_PRIORITY="NO" WIDTH=2 WIDTHAD=1 data q
+//VERSION_BEGIN 10.1 cbx_altpriority_encoder 2010:09:06:21:07:25:PN cbx_mgl 2010:09:06:21:22:18:PN  VERSION_END
+
+//synthesis_resources = 
+//synopsys translate_off
+`timescale 1 ps / 1 ps
+//synopsys translate_on
+module  fpoint_qsys_addsub_single_altpriority_encoder_iha
+	( 
+	data,
+	q) /* synthesis synthesis_clearbox=1 */;
+	input   [1:0]  data;
+	output   [0:0]  q;
+
+
+	assign
+		q = {data[1]};
+endmodule //fpoint_qsys_addsub_single_altpriority_encoder_iha
+
+//synthesis_resources = 
+//synopsys translate_off
+`timescale 1 ps / 1 ps
+//synopsys translate_on
+module  fpoint_qsys_addsub_single_altpriority_encoder_lha
+	( 
+	data,
+	q) /* synthesis synthesis_clearbox=1 */;
+	input   [3:0]  data;
+	output   [1:0]  q;
+
+	wire  [0:0]   wire_altpriority_encoder17_q;
+	wire  [0:0]   wire_altpriority_encoder18_q;
+	wire  wire_altpriority_encoder18_zero;
+
+	fpoint_qsys_addsub_single_altpriority_encoder_iha   altpriority_encoder17
+	( 
+	.data(data[1:0]),
+	.q(wire_altpriority_encoder17_q));
+	fpoint_qsys_addsub_single_altpriority_encoder_i0b   altpriority_encoder18
+	( 
+	.data(data[3:2]),
+	.q(wire_altpriority_encoder18_q),
+	.zero(wire_altpriority_encoder18_zero));
+	assign
+		q = {(~ wire_altpriority_encoder18_zero), ((wire_altpriority_encoder18_zero & wire_altpriority_encoder17_q) | ((~ wire_altpriority_encoder18_zero) & wire_altpriority_encoder18_q))};
+endmodule //fpoint_qsys_addsub_single_altpriority_encoder_lha
+
+//synthesis_resources = 
+//synopsys translate_off
+`timescale 1 ps / 1 ps
+//synopsys translate_on
+module  fpoint_qsys_addsub_single_altpriority_encoder_qha
+	( 
+	data,
+	q) /* synthesis synthesis_clearbox=1 */;
+	input   [7:0]  data;
+	output   [2:0]  q;
+
+	wire  [1:0]   wire_altpriority_encoder15_q;
+	wire  [1:0]   wire_altpriority_encoder16_q;
+	wire  wire_altpriority_encoder16_zero;
+
+	fpoint_qsys_addsub_single_altpriority_encoder_lha   altpriority_encoder15
+	( 
+	.data(data[3:0]),
+	.q(wire_altpriority_encoder15_q));
+	fpoint_qsys_addsub_single_altpriority_encoder_l0b   altpriority_encoder16
+	( 
+	.data(data[7:4]),
+	.q(wire_altpriority_encoder16_q),
+	.zero(wire_altpriority_encoder16_zero));
+	assign
+		q = {(~ wire_altpriority_encoder16_zero), (({2{wire_altpriority_encoder16_zero}} & wire_altpriority_encoder15_q) | ({2{(~ wire_altpriority_encoder16_zero)}} & wire_altpriority_encoder16_q))};
+endmodule //fpoint_qsys_addsub_single_altpriority_encoder_qha
+
+//synthesis_resources = 
+//synopsys translate_off
+`timescale 1 ps / 1 ps
+//synopsys translate_on
+module  fpoint_qsys_addsub_single_altpriority_encoder_aja
+	( 
+	data,
+	q) /* synthesis synthesis_clearbox=1 */;
+	input   [15:0]  data;
+	output   [3:0]  q;
+
+	wire  [2:0]   wire_altpriority_encoder10_q;
+	wire  wire_altpriority_encoder10_zero;
+	wire  [2:0]   wire_altpriority_encoder9_q;
+
+	fpoint_qsys_addsub_single_altpriority_encoder_q0b   altpriority_encoder10
+	( 
+	.data(data[15:8]),
+	.q(wire_altpriority_encoder10_q),
+	.zero(wire_altpriority_encoder10_zero));
+	fpoint_qsys_addsub_single_altpriority_encoder_qha   altpriority_encoder9
+	( 
+	.data(data[7:0]),
+	.q(wire_altpriority_encoder9_q));
+	assign
+		q = {(~ wire_altpriority_encoder10_zero), (({3{wire_altpriority_encoder10_zero}} & wire_altpriority_encoder9_q) | ({3{(~ wire_altpriority_encoder10_zero)}} & wire_altpriority_encoder10_q))};
+endmodule //fpoint_qsys_addsub_single_altpriority_encoder_aja
+
+
+//altpriority_encoder CBX_AUTO_BLACKBOX="ON" CBX_SINGLE_OUTPUT_FILE="ON" LSB_PRIORITY="NO" WIDTH=16 WIDTHAD=4 data q zero
+//VERSION_BEGIN 10.1 cbx_altpriority_encoder 2010:09:06:21:07:25:PN cbx_mgl 2010:09:06:21:22:18:PN  VERSION_END
+
+//synthesis_resources = 
+//synopsys translate_off
+`timescale 1 ps / 1 ps
+//synopsys translate_on
+module  fpoint_qsys_addsub_single_altpriority_encoder_a2b
+	( 
+	data,
+	q,
+	zero) /* synthesis synthesis_clearbox=1 */;
+	input   [15:0]  data;
+	output   [3:0]  q;
+	output   zero;
+
+	wire  [2:0]   wire_altpriority_encoder19_q;
+	wire  wire_altpriority_encoder19_zero;
+	wire  [2:0]   wire_altpriority_encoder20_q;
+	wire  wire_altpriority_encoder20_zero;
+
+	fpoint_qsys_addsub_single_altpriority_encoder_q0b   altpriority_encoder19
+	( 
+	.data(data[7:0]),
+	.q(wire_altpriority_encoder19_q),
+	.zero(wire_altpriority_encoder19_zero));
+	fpoint_qsys_addsub_single_altpriority_encoder_q0b   altpriority_encoder20
+	( 
+	.data(data[15:8]),
+	.q(wire_altpriority_encoder20_q),
+	.zero(wire_altpriority_encoder20_zero));
+	assign
+		q = {(~ wire_altpriority_encoder20_zero), (({3{wire_altpriority_encoder20_zero}} & wire_altpriority_encoder19_q) | ({3{(~ wire_altpriority_encoder20_zero)}} & wire_altpriority_encoder20_q))},
+		zero = (wire_altpriority_encoder19_zero & wire_altpriority_encoder20_zero);
+endmodule //fpoint_qsys_addsub_single_altpriority_encoder_a2b
+
+//synthesis_resources = 
+//synopsys translate_off
+`timescale 1 ps / 1 ps
+//synopsys translate_on
+module  fpoint_qsys_addsub_single_altpriority_encoder_9u8
+	( 
+	data,
+	q) /* synthesis synthesis_clearbox=1 */;
+	input   [31:0]  data;
+	output   [4:0]  q;
+
+	wire  [3:0]   wire_altpriority_encoder7_q;
+	wire  [3:0]   wire_altpriority_encoder8_q;
+	wire  wire_altpriority_encoder8_zero;
+
+	fpoint_qsys_addsub_single_altpriority_encoder_aja   altpriority_encoder7
+	( 
+	.data(data[15:0]),
+	.q(wire_altpriority_encoder7_q));
+	fpoint_qsys_addsub_single_altpriority_encoder_a2b   altpriority_encoder8
+	( 
+	.data(data[31:16]),
+	.q(wire_altpriority_encoder8_q),
+	.zero(wire_altpriority_encoder8_zero));
+	assign
+		q = {(~ wire_altpriority_encoder8_zero), (({4{wire_altpriority_encoder8_zero}} & wire_altpriority_encoder7_q) | ({4{(~ wire_altpriority_encoder8_zero)}} & wire_altpriority_encoder8_q))};
+endmodule //fpoint_qsys_addsub_single_altpriority_encoder_9u8
+
+
+//altpriority_encoder CBX_AUTO_BLACKBOX="ON" CBX_SINGLE_OUTPUT_FILE="ON" LSB_PRIORITY="YES" WIDTH=32 WIDTHAD=5 data q
+//VERSION_BEGIN 10.1 cbx_altpriority_encoder 2010:09:06:21:07:25:PN cbx_mgl 2010:09:06:21:22:18:PN  VERSION_END
+
+
+//altpriority_encoder CBX_AUTO_BLACKBOX="ON" CBX_SINGLE_OUTPUT_FILE="ON" LSB_PRIORITY="YES" WIDTH=16 WIDTHAD=4 data q zero
+//VERSION_BEGIN 10.1 cbx_altpriority_encoder 2010:09:06:21:07:25:PN cbx_mgl 2010:09:06:21:22:18:PN  VERSION_END
+
+
+//altpriority_encoder CBX_AUTO_BLACKBOX="ON" CBX_SINGLE_OUTPUT_FILE="ON" LSB_PRIORITY="YES" WIDTH=8 WIDTHAD=3 data q zero
+//VERSION_BEGIN 10.1 cbx_altpriority_encoder 2010:09:06:21:07:25:PN cbx_mgl 2010:09:06:21:22:18:PN  VERSION_END
+
+
+//altpriority_encoder CBX_AUTO_BLACKBOX="ON" CBX_SINGLE_OUTPUT_FILE="ON" LSB_PRIORITY="YES" WIDTH=4 WIDTHAD=2 data q zero
+//VERSION_BEGIN 10.1 cbx_altpriority_encoder 2010:09:06:21:07:25:PN cbx_mgl 2010:09:06:21:22:18:PN  VERSION_END
+
+
+//altpriority_encoder CBX_AUTO_BLACKBOX="ON" CBX_SINGLE_OUTPUT_FILE="ON" LSB_PRIORITY="YES" WIDTH=2 WIDTHAD=1 data q zero
+//VERSION_BEGIN 10.1 cbx_altpriority_encoder 2010:09:06:21:07:25:PN cbx_mgl 2010:09:06:21:22:18:PN  VERSION_END
+
+//synthesis_resources = 
+//synopsys translate_off
+`timescale 1 ps / 1 ps
+//synopsys translate_on
+module  fpoint_qsys_addsub_single_altpriority_encoder_64b
+	( 
+	data,
+	q,
+	zero) /* synthesis synthesis_clearbox=1 */;
+	input   [1:0]  data;
+	output   [0:0]  q;
+	output   zero;
+
+
+	assign
+		q = {(~ data[0])},
+		zero = (~ (data[0] | data[1]));
+endmodule //fpoint_qsys_addsub_single_altpriority_encoder_64b
+
+//synthesis_resources = 
+//synopsys translate_off
+`timescale 1 ps / 1 ps
+//synopsys translate_on
+module  fpoint_qsys_addsub_single_altpriority_encoder_94b
+	( 
+	data,
+	q,
+	zero) /* synthesis synthesis_clearbox=1 */;
+	input   [3:0]  data;
+	output   [1:0]  q;
+	output   zero;
+
+	wire  [0:0]   wire_altpriority_encoder27_q;
+	wire  wire_altpriority_encoder27_zero;
+	wire  [0:0]   wire_altpriority_encoder28_q;
+	wire  wire_altpriority_encoder28_zero;
+
+	fpoint_qsys_addsub_single_altpriority_encoder_64b   altpriority_encoder27
+	( 
+	.data(data[1:0]),
+	.q(wire_altpriority_encoder27_q),
+	.zero(wire_altpriority_encoder27_zero));
+	fpoint_qsys_addsub_single_altpriority_encoder_64b   altpriority_encoder28
+	( 
+	.data(data[3:2]),
+	.q(wire_altpriority_encoder28_q),
+	.zero(wire_altpriority_encoder28_zero));
+	assign
+		q = {wire_altpriority_encoder27_zero, ((wire_altpriority_encoder27_zero & wire_altpriority_encoder28_q) | ((~ wire_altpriority_encoder27_zero) & wire_altpriority_encoder27_q))},
+		zero = (wire_altpriority_encoder27_zero & wire_altpriority_encoder28_zero);
+endmodule //fpoint_qsys_addsub_single_altpriority_encoder_94b
+
+//synthesis_resources = 
+//synopsys translate_off
+`timescale 1 ps / 1 ps
+//synopsys translate_on
+module  fpoint_qsys_addsub_single_altpriority_encoder_e4b
+	( 
+	data,
+	q,
+	zero) /* synthesis synthesis_clearbox=1 */;
+	input   [7:0]  data;
+	output   [2:0]  q;
+	output   zero;
+
+	wire  [1:0]   wire_altpriority_encoder25_q;
+	wire  wire_altpriority_encoder25_zero;
+	wire  [1:0]   wire_altpriority_encoder26_q;
+	wire  wire_altpriority_encoder26_zero;
+
+	fpoint_qsys_addsub_single_altpriority_encoder_94b   altpriority_encoder25
+	( 
+	.data(data[3:0]),
+	.q(wire_altpriority_encoder25_q),
+	.zero(wire_altpriority_encoder25_zero));
+	fpoint_qsys_addsub_single_altpriority_encoder_94b   altpriority_encoder26
+	( 
+	.data(data[7:4]),
+	.q(wire_altpriority_encoder26_q),
+	.zero(wire_altpriority_encoder26_zero));
+	assign
+		q = {wire_altpriority_encoder25_zero, (({2{wire_altpriority_encoder25_zero}} & wire_altpriority_encoder26_q) | ({2{(~ wire_altpriority_encoder25_zero)}} & wire_altpriority_encoder25_q))},
+		zero = (wire_altpriority_encoder25_zero & wire_altpriority_encoder26_zero);
+endmodule //fpoint_qsys_addsub_single_altpriority_encoder_e4b
+
+//synthesis_resources = 
+//synopsys translate_off
+`timescale 1 ps / 1 ps
+//synopsys translate_on
+module  fpoint_qsys_addsub_single_altpriority_encoder_u5b
+	( 
+	data,
+	q,
+	zero) /* synthesis synthesis_clearbox=1 */;
+	input   [15:0]  data;
+	output   [3:0]  q;
+	output   zero;
+
+	wire  [2:0]   wire_altpriority_encoder23_q;
+	wire  wire_altpriority_encoder23_zero;
+	wire  [2:0]   wire_altpriority_encoder24_q;
+	wire  wire_altpriority_encoder24_zero;
+
+	fpoint_qsys_addsub_single_altpriority_encoder_e4b   altpriority_encoder23
+	( 
+	.data(data[7:0]),
+	.q(wire_altpriority_encoder23_q),
+	.zero(wire_altpriority_encoder23_zero));
+	fpoint_qsys_addsub_single_altpriority_encoder_e4b   altpriority_encoder24
+	( 
+	.data(data[15:8]),
+	.q(wire_altpriority_encoder24_q),
+	.zero(wire_altpriority_encoder24_zero));
+	assign
+		q = {wire_altpriority_encoder23_zero, (({3{wire_altpriority_encoder23_zero}} & wire_altpriority_encoder24_q) | ({3{(~ wire_altpriority_encoder23_zero)}} & wire_altpriority_encoder23_q))},
+		zero = (wire_altpriority_encoder23_zero & wire_altpriority_encoder24_zero);
+endmodule //fpoint_qsys_addsub_single_altpriority_encoder_u5b
+
+
+//altpriority_encoder CBX_AUTO_BLACKBOX="ON" CBX_SINGLE_OUTPUT_FILE="ON" LSB_PRIORITY="YES" WIDTH=16 WIDTHAD=4 data q
+//VERSION_BEGIN 10.1 cbx_altpriority_encoder 2010:09:06:21:07:25:PN cbx_mgl 2010:09:06:21:22:18:PN  VERSION_END
+
+
+//altpriority_encoder CBX_AUTO_BLACKBOX="ON" CBX_SINGLE_OUTPUT_FILE="ON" LSB_PRIORITY="YES" WIDTH=8 WIDTHAD=3 data q
+//VERSION_BEGIN 10.1 cbx_altpriority_encoder 2010:09:06:21:07:25:PN cbx_mgl 2010:09:06:21:22:18:PN  VERSION_END
+
+
+//altpriority_encoder CBX_AUTO_BLACKBOX="ON" CBX_SINGLE_OUTPUT_FILE="ON" LSB_PRIORITY="YES" WIDTH=4 WIDTHAD=2 data q
+//VERSION_BEGIN 10.1 cbx_altpriority_encoder 2010:09:06:21:07:25:PN cbx_mgl 2010:09:06:21:22:18:PN  VERSION_END
+
+
+//altpriority_encoder CBX_AUTO_BLACKBOX="ON" CBX_SINGLE_OUTPUT_FILE="ON" LSB_PRIORITY="YES" WIDTH=2 WIDTHAD=1 data q
+//VERSION_BEGIN 10.1 cbx_altpriority_encoder 2010:09:06:21:07:25:PN cbx_mgl 2010:09:06:21:22:18:PN  VERSION_END
+
+//synthesis_resources = 
+//synopsys translate_off
+`timescale 1 ps / 1 ps
+//synopsys translate_on
+module  fpoint_qsys_addsub_single_altpriority_encoder_6la
+	( 
+	data,
+	q) /* synthesis synthesis_clearbox=1 */;
+	input   [1:0]  data;
+	output   [0:0]  q;
+
+
+	assign
+		q = {(~ data[0])};
+endmodule //fpoint_qsys_addsub_single_altpriority_encoder_6la
+
+//synthesis_resources = 
+//synopsys translate_off
+`timescale 1 ps / 1 ps
+//synopsys translate_on
+module  fpoint_qsys_addsub_single_altpriority_encoder_9la
+	( 
+	data,
+	q) /* synthesis synthesis_clearbox=1 */;
+	input   [3:0]  data;
+	output   [1:0]  q;
+
+	wire  [0:0]   wire_altpriority_encoder33_q;
+	wire  wire_altpriority_encoder33_zero;
+	wire  [0:0]   wire_altpriority_encoder34_q;
+
+	fpoint_qsys_addsub_single_altpriority_encoder_64b   altpriority_encoder33
+	( 
+	.data(data[1:0]),
+	.q(wire_altpriority_encoder33_q),
+	.zero(wire_altpriority_encoder33_zero));
+	fpoint_qsys_addsub_single_altpriority_encoder_6la   altpriority_encoder34
+	( 
+	.data(data[3:2]),
+	.q(wire_altpriority_encoder34_q));
+	assign
+		q = {wire_altpriority_encoder33_zero, ((wire_altpriority_encoder33_zero & wire_altpriority_encoder34_q) | ((~ wire_altpriority_encoder33_zero) & wire_altpriority_encoder33_q))};
+endmodule //fpoint_qsys_addsub_single_altpriority_encoder_9la
+
+//synthesis_resources = 
+//synopsys translate_off
+`timescale 1 ps / 1 ps
+//synopsys translate_on
+module  fpoint_qsys_addsub_single_altpriority_encoder_ela
+	( 
+	data,
+	q) /* synthesis synthesis_clearbox=1 */;
+	input   [7:0]  data;
+	output   [2:0]  q;
+
+	wire  [1:0]   wire_altpriority_encoder31_q;
+	wire  wire_altpriority_encoder31_zero;
+	wire  [1:0]   wire_altpriority_encoder32_q;
+
+	fpoint_qsys_addsub_single_altpriority_encoder_94b   altpriority_encoder31
+	( 
+	.data(data[3:0]),
+	.q(wire_altpriority_encoder31_q),
+	.zero(wire_altpriority_encoder31_zero));
+	fpoint_qsys_addsub_single_altpriority_encoder_9la   altpriority_encoder32
+	( 
+	.data(data[7:4]),
+	.q(wire_altpriority_encoder32_q));
+	assign
+		q = {wire_altpriority_encoder31_zero, (({2{wire_altpriority_encoder31_zero}} & wire_altpriority_encoder32_q) | ({2{(~ wire_altpriority_encoder31_zero)}} & wire_altpriority_encoder31_q))};
+endmodule //fpoint_qsys_addsub_single_altpriority_encoder_ela
+
+//synthesis_resources = 
+//synopsys translate_off
+`timescale 1 ps / 1 ps
+//synopsys translate_on
+module  fpoint_qsys_addsub_single_altpriority_encoder_uma
+	( 
+	data,
+	q) /* synthesis synthesis_clearbox=1 */;
+	input   [15:0]  data;
+	output   [3:0]  q;
+
+	wire  [2:0]   wire_altpriority_encoder29_q;
+	wire  wire_altpriority_encoder29_zero;
+	wire  [2:0]   wire_altpriority_encoder30_q;
+
+	fpoint_qsys_addsub_single_altpriority_encoder_e4b   altpriority_encoder29
+	( 
+	.data(data[7:0]),
+	.q(wire_altpriority_encoder29_q),
+	.zero(wire_altpriority_encoder29_zero));
+	fpoint_qsys_addsub_single_altpriority_encoder_ela   altpriority_encoder30
+	( 
+	.data(data[15:8]),
+	.q(wire_altpriority_encoder30_q));
+	assign
+		q = {wire_altpriority_encoder29_zero, (({3{wire_altpriority_encoder29_zero}} & wire_altpriority_encoder30_q) | ({3{(~ wire_altpriority_encoder29_zero)}} & wire_altpriority_encoder29_q))};
+endmodule //fpoint_qsys_addsub_single_altpriority_encoder_uma
+
+//synthesis_resources = 
+//synopsys translate_off
+`timescale 1 ps / 1 ps
+//synopsys translate_on
+module  fpoint_qsys_addsub_single_altpriority_encoder_tma
+	( 
+	data,
+	q) /* synthesis synthesis_clearbox=1 */;
+	input   [31:0]  data;
+	output   [4:0]  q;
+
+	wire  [3:0]   wire_altpriority_encoder21_q;
+	wire  wire_altpriority_encoder21_zero;
+	wire  [3:0]   wire_altpriority_encoder22_q;
+
+	fpoint_qsys_addsub_single_altpriority_encoder_u5b   altpriority_encoder21
+	( 
+	.data(data[15:0]),
+	.q(wire_altpriority_encoder21_q),
+	.zero(wire_altpriority_encoder21_zero));
+	fpoint_qsys_addsub_single_altpriority_encoder_uma   altpriority_encoder22
+	( 
+	.data(data[31:16]),
+	.q(wire_altpriority_encoder22_q));
+	assign
+		q = {wire_altpriority_encoder21_zero, (({4{wire_altpriority_encoder21_zero}} & wire_altpriority_encoder22_q) | ({4{(~ wire_altpriority_encoder21_zero)}} & wire_altpriority_encoder21_q))};
+endmodule //fpoint_qsys_addsub_single_altpriority_encoder_tma
+
+//synthesis_resources = lpm_add_sub 14 lpm_compare 1 reg 356 
+//synopsys translate_off
+`timescale 1 ps / 1 ps
+//synopsys translate_on
+module  fpoint_qsys_addsub_single
+	( 
+	aclr,
+	add_sub,
+	clk_en,
+	clock,
+	dataa,
+	datab,
+	result) /* synthesis synthesis_clearbox=1 */;
+	input   aclr;
+	input   add_sub;
+	input   clk_en;
+	input   clock;
+	input   [31:0]  dataa;
+	input   [31:0]  datab;
+	output   [31:0]  result;
+`ifndef ALTERA_RESERVED_QIS
+// synopsys translate_off
+`endif
+	tri0   aclr;
+	tri1   add_sub;
+	tri1   clk_en;
+`ifndef ALTERA_RESERVED_QIS
+// synopsys translate_on
+`endif
+
+	wire  [25:0]   wire_lbarrel_shift_result;
+	wire  [25:0]   wire_rbarrel_shift_result;
+	wire  [4:0]   wire_leading_zeroes_cnt_q;
+	wire  [4:0]   wire_trailing_zeros_cnt_q;
+	reg	add_sub_dffe1;
+	reg	add_sub_dffe12;
+	reg	[8:0]	aligned_dataa_exp_dffe12;
+	reg	[23:0]	aligned_dataa_man_dffe12;
+	reg	aligned_dataa_sign_dffe12;
+	reg	[8:0]	aligned_datab_exp_dffe12;
+	reg	[23:0]	aligned_datab_man_dffe12;
+	reg	aligned_datab_sign_dffe12;
+	reg	both_inputs_are_infinite_dffe1;
+	reg	[7:0]	data_exp_dffe1;
+	reg	[25:0]	dataa_man_dffe1;
+	reg	dataa_sign_dffe1;
+	reg	[25:0]	datab_man_dffe1;
+	reg	datab_sign_dffe1;
+	reg	denormal_res_dffe3;
+	reg	denormal_res_dffe4;
+	reg	[1:0]	exp_adj_dffe21;
+	reg	[7:0]	exp_out_dffe5;
+	reg	[7:0]	exp_res_dffe2;
+	reg	[7:0]	exp_res_dffe21;
+	reg	[7:0]	exp_res_dffe3;
+	reg	[7:0]	exp_res_dffe4;
+	reg	infinite_output_sign_dffe1;
+	reg	infinite_output_sign_dffe2;
+	reg	infinite_output_sign_dffe21;
+	reg	infinite_output_sign_dffe3;
+	reg	infinite_output_sign_dffe31;
+	reg	infinite_output_sign_dffe4;
+	reg	infinite_res_dffe3;
+	reg	infinite_res_dffe4;
+	reg	infinity_magnitude_sub_dffe2;
+	reg	infinity_magnitude_sub_dffe21;
+	reg	infinity_magnitude_sub_dffe3;
+	reg	infinity_magnitude_sub_dffe31;
+	reg	infinity_magnitude_sub_dffe4;
+	reg	input_dataa_infinite_dffe12;
+	reg	input_dataa_nan_dffe12;
+	reg	input_datab_infinite_dffe12;
+	reg	input_datab_nan_dffe12;
+	reg	input_is_infinite_dffe1;
+	reg	input_is_infinite_dffe2;
+	reg	input_is_infinite_dffe21;
+	reg	input_is_infinite_dffe3;
+	reg	input_is_infinite_dffe31;
+	reg	input_is_infinite_dffe4;
+	reg	input_is_nan_dffe1;
+	reg	input_is_nan_dffe2;
+	reg	input_is_nan_dffe21;
+	reg	input_is_nan_dffe3;
+	reg	input_is_nan_dffe31;
+	reg	input_is_nan_dffe4;
+	reg	[25:0]	man_add_sub_res_mag_dffe21;
+	reg	man_add_sub_res_sign_dffe21;
+	reg	[25:0]	man_dffe31;
+	reg	[4:0]	man_leading_zeros_dffe31;
+	reg	[22:0]	man_out_dffe5;
+	reg	[22:0]	man_res_dffe4;
+	reg	man_res_is_not_zero_dffe3;
+	reg	man_res_is_not_zero_dffe31;
+	reg	man_res_is_not_zero_dffe4;
+	reg	need_complement_dffe2;
+	reg	round_bit_dffe21;
+	reg	round_bit_dffe3;
+	reg	round_bit_dffe31;
+	reg	rounded_res_infinity_dffe4;
+	reg	sign_dffe31;
+	reg	sign_out_dffe5;
+	reg	sign_res_dffe3;
+	reg	sign_res_dffe4;
+	reg	sticky_bit_dffe1;
+	reg	sticky_bit_dffe2;
+	reg	sticky_bit_dffe21;
+	reg	sticky_bit_dffe3;
+	reg	sticky_bit_dffe31;
+	reg	zero_man_sign_dffe2;
+	reg	zero_man_sign_dffe21;
+	wire  [8:0]   wire_add_sub1_result;
+	wire  [8:0]   wire_add_sub2_result;
+	wire  [5:0]   wire_add_sub3_result;
+	wire  [8:0]   wire_add_sub4_result;
+	wire  [8:0]   wire_add_sub5_result;
+	wire  [8:0]   wire_add_sub6_result;
+	wire  wire_man_2comp_res_lower_cout;
+	wire  [13:0]   wire_man_2comp_res_lower_result;
+	wire  [13:0]   wire_man_2comp_res_upper0_result;
+	wire  [13:0]   wire_man_2comp_res_upper1_result;
+	wire  wire_man_add_sub_lower_cout;
+	wire  [13:0]   wire_man_add_sub_lower_result;
+	wire  [13:0]   wire_man_add_sub_upper0_result;
+	wire  [13:0]   wire_man_add_sub_upper1_result;
+	wire  wire_man_res_rounding_add_sub_lower_cout;
+	wire  [12:0]   wire_man_res_rounding_add_sub_lower_result;
+	wire  [12:0]   wire_man_res_rounding_add_sub_upper1_result;
+	wire  wire_trailing_zeros_limit_comparator_agb;
+	wire  add_sub_dffe11_wi;
+	wire  add_sub_dffe11_wo;
+	wire  add_sub_dffe12_wi;
+	wire  add_sub_dffe12_wo;
+	wire  add_sub_dffe13_wi;
+	wire  add_sub_dffe13_wo;
+	wire  add_sub_dffe14_wi;
+	wire  add_sub_dffe14_wo;
+	wire  add_sub_dffe15_wi;
+	wire  add_sub_dffe15_wo;
+	wire  add_sub_dffe1_wi;
+	wire  add_sub_dffe1_wo;
+	wire  add_sub_dffe25_wi;
+	wire  add_sub_dffe25_wo;
+	wire  add_sub_w2;
+	wire  [12:0]  adder_upper_w;
+	wire  [8:0]  aligned_dataa_exp_dffe12_wi;
+	wire  [8:0]  aligned_dataa_exp_dffe12_wo;
+	wire  [8:0]  aligned_dataa_exp_dffe13_wi;
+	wire  [8:0]  aligned_dataa_exp_dffe13_wo;
+	wire  [8:0]  aligned_dataa_exp_dffe14_wi;
+	wire  [8:0]  aligned_dataa_exp_dffe14_wo;
+	wire  [8:0]  aligned_dataa_exp_dffe15_wi;
+	wire  [8:0]  aligned_dataa_exp_dffe15_wo;
+	wire  [8:0]  aligned_dataa_exp_w;
+	wire  [23:0]  aligned_dataa_man_dffe12_wi;
+	wire  [23:0]  aligned_dataa_man_dffe12_wo;
+	wire  [23:0]  aligned_dataa_man_dffe13_wi;
+	wire  [23:0]  aligned_dataa_man_dffe13_wo;
+	wire  [23:0]  aligned_dataa_man_dffe14_wi;
+	wire  [23:0]  aligned_dataa_man_dffe14_wo;
+	wire  [25:0]  aligned_dataa_man_dffe15_w;
+	wire  [23:0]  aligned_dataa_man_dffe15_wi;
+	wire  [23:0]  aligned_dataa_man_dffe15_wo;
+	wire  [25:0]  aligned_dataa_man_w;
+	wire  aligned_dataa_sign_dffe12_wi;
+	wire  aligned_dataa_sign_dffe12_wo;
+	wire  aligned_dataa_sign_dffe13_wi;
+	wire  aligned_dataa_sign_dffe13_wo;
+	wire  aligned_dataa_sign_dffe14_wi;
+	wire  aligned_dataa_sign_dffe14_wo;
+	wire  aligned_dataa_sign_dffe15_wi;
+	wire  aligned_dataa_sign_dffe15_wo;
+	wire  aligned_dataa_sign_w;
+	wire  [8:0]  aligned_datab_exp_dffe12_wi;
+	wire  [8:0]  aligned_datab_exp_dffe12_wo;
+	wire  [8:0]  aligned_datab_exp_dffe13_wi;
+	wire  [8:0]  aligned_datab_exp_dffe13_wo;
+	wire  [8:0]  aligned_datab_exp_dffe14_wi;
+	wire  [8:0]  aligned_datab_exp_dffe14_wo;
+	wire  [8:0]  aligned_datab_exp_dffe15_wi;
+	wire  [8:0]  aligned_datab_exp_dffe15_wo;
+	wire  [8:0]  aligned_datab_exp_w;
+	wire  [23:0]  aligned_datab_man_dffe12_wi;
+	wire  [23:0]  aligned_datab_man_dffe12_wo;
+	wire  [23:0]  aligned_datab_man_dffe13_wi;
+	wire  [23:0]  aligned_datab_man_dffe13_wo;
+	wire  [23:0]  aligned_datab_man_dffe14_wi;
+	wire  [23:0]  aligned_datab_man_dffe14_wo;
+	wire  [25:0]  aligned_datab_man_dffe15_w;
+	wire  [23:0]  aligned_datab_man_dffe15_wi;
+	wire  [23:0]  aligned_datab_man_dffe15_wo;
+	wire  [25:0]  aligned_datab_man_w;
+	wire  aligned_datab_sign_dffe12_wi;
+	wire  aligned_datab_sign_dffe12_wo;
+	wire  aligned_datab_sign_dffe13_wi;
+	wire  aligned_datab_sign_dffe13_wo;
+	wire  aligned_datab_sign_dffe14_wi;
+	wire  aligned_datab_sign_dffe14_wo;
+	wire  aligned_datab_sign_dffe15_wi;
+	wire  aligned_datab_sign_dffe15_wo;
+	wire  aligned_datab_sign_w;
+	wire  borrow_w;
+	wire  both_inputs_are_infinite_dffe1_wi;
+	wire  both_inputs_are_infinite_dffe1_wo;
+	wire  both_inputs_are_infinite_dffe25_wi;
+	wire  both_inputs_are_infinite_dffe25_wo;
+	wire  [7:0]  data_exp_dffe1_wi;
+	wire  [7:0]  data_exp_dffe1_wo;
+	wire  [31:0]  dataa_dffe11_wi;
+	wire  [31:0]  dataa_dffe11_wo;
+	wire  [25:0]  dataa_man_dffe1_wi;
+	wire  [25:0]  dataa_man_dffe1_wo;
+	wire  dataa_sign_dffe1_wi;
+	wire  dataa_sign_dffe1_wo;
+	wire  dataa_sign_dffe25_wi;
+	wire  dataa_sign_dffe25_wo;
+	wire  [31:0]  datab_dffe11_wi;
+	wire  [31:0]  datab_dffe11_wo;
+	wire  [25:0]  datab_man_dffe1_wi;
+	wire  [25:0]  datab_man_dffe1_wo;
+	wire  datab_sign_dffe1_wi;
+	wire  datab_sign_dffe1_wo;
+	wire  denormal_flag_w;
+	wire  denormal_res_dffe32_wi;
+	wire  denormal_res_dffe32_wo;
+	wire  denormal_res_dffe33_wi;
+	wire  denormal_res_dffe33_wo;
+	wire  denormal_res_dffe3_wi;
+	wire  denormal_res_dffe3_wo;
+	wire  denormal_res_dffe41_wi;
+	wire  denormal_res_dffe41_wo;
+	wire  denormal_res_dffe42_wi;
+	wire  denormal_res_dffe42_wo;
+	wire  denormal_res_dffe4_wi;
+	wire  denormal_res_dffe4_wo;
+	wire  denormal_result_w;
+	wire  [7:0]  exp_a_all_one_w;
+	wire  [7:0]  exp_a_not_zero_w;
+	wire  [6:0]  exp_adj_0pads;
+	wire  [1:0]  exp_adj_dffe21_wi;
+	wire  [1:0]  exp_adj_dffe21_wo;
+	wire  [1:0]  exp_adj_dffe23_wi;
+	wire  [1:0]  exp_adj_dffe23_wo;
+	wire  [1:0]  exp_adj_dffe26_wi;
+	wire  [1:0]  exp_adj_dffe26_wo;
+	wire  [1:0]  exp_adjust_by_add1;
+	wire  [1:0]  exp_adjust_by_add2;
+	wire  [8:0]  exp_adjustment2_add_sub_dataa_w;
+	wire  [8:0]  exp_adjustment2_add_sub_datab_w;
+	wire  [8:0]  exp_adjustment2_add_sub_w;
+	wire  [8:0]  exp_adjustment_add_sub_dataa_w;
+	wire  [8:0]  exp_adjustment_add_sub_datab_w;
+	wire  [8:0]  exp_adjustment_add_sub_w;
+	wire  [7:0]  exp_all_ones_w;
+	wire  [7:0]  exp_all_zeros_w;
+	wire  exp_amb_mux_dffe13_wi;
+	wire  exp_amb_mux_dffe13_wo;
+	wire  exp_amb_mux_dffe14_wi;
+	wire  exp_amb_mux_dffe14_wo;
+	wire  exp_amb_mux_dffe15_wi;
+	wire  exp_amb_mux_dffe15_wo;
+	wire  exp_amb_mux_w;
+	wire  [8:0]  exp_amb_w;
+	wire  [7:0]  exp_b_all_one_w;
+	wire  [7:0]  exp_b_not_zero_w;
+	wire  [8:0]  exp_bma_w;
+	wire  [2:0]  exp_diff_abs_exceed_max_w;
+	wire  [4:0]  exp_diff_abs_max_w;
+	wire  [7:0]  exp_diff_abs_w;
+	wire  [7:0]  exp_intermediate_res_dffe41_wi;
+	wire  [7:0]  exp_intermediate_res_dffe41_wo;
+	wire  [7:0]  exp_intermediate_res_dffe42_wi;
+	wire  [7:0]  exp_intermediate_res_dffe42_wo;
+	wire  [7:0]  exp_intermediate_res_w;
+	wire  [7:0]  exp_out_dffe5_wi;
+	wire  [7:0]  exp_out_dffe5_wo;
+	wire  [7:0]  exp_res_dffe21_wi;
+	wire  [7:0]  exp_res_dffe21_wo;
+	wire  [7:0]  exp_res_dffe22_wi;
+	wire  [7:0]  exp_res_dffe22_wo;
+	wire  [7:0]  exp_res_dffe23_wi;
+	wire  [7:0]  exp_res_dffe23_wo;
+	wire  [7:0]  exp_res_dffe25_wi;
+	wire  [7:0]  exp_res_dffe25_wo;
+	wire  [7:0]  exp_res_dffe26_wi;
+	wire  [7:0]  exp_res_dffe26_wo;
+	wire  [7:0]  exp_res_dffe27_wi;
+	wire  [7:0]  exp_res_dffe27_wo;
+	wire  [7:0]  exp_res_dffe2_wi;
+	wire  [7:0]  exp_res_dffe2_wo;
+	wire  [7:0]  exp_res_dffe32_wi;
+	wire  [7:0]  exp_res_dffe32_wo;
+	wire  [7:0]  exp_res_dffe33_wi;
+	wire  [7:0]  exp_res_dffe33_wo;
+	wire  [7:0]  exp_res_dffe3_wi;
+	wire  [7:0]  exp_res_dffe3_wo;
+	wire  [7:0]  exp_res_dffe4_wi;
+	wire  [7:0]  exp_res_dffe4_wo;
+	wire  [7:0]  exp_res_max_w;
+	wire  [8:0]  exp_res_not_zero_w;
+	wire  [8:0]  exp_res_rounding_adder_dataa_w;
+	wire  [8:0]  exp_res_rounding_adder_w;
+	wire  exp_rounded_res_infinity_w;
+	wire  [7:0]  exp_rounded_res_max_w;
+	wire  [7:0]  exp_rounded_res_w;
+	wire  [8:0]  exp_rounding_adjustment_w;
+	wire  [8:0]  exp_value;
+	wire  force_infinity_w;
+	wire  force_nan_w;
+	wire  force_zero_w;
+	wire  guard_bit_dffe3_wo;
+	wire  infinite_output_sign_dffe1_wi;
+	wire  infinite_output_sign_dffe1_wo;
+	wire  infinite_output_sign_dffe21_wi;
+	wire  infinite_output_sign_dffe21_wo;
+	wire  infinite_output_sign_dffe22_wi;
+	wire  infinite_output_sign_dffe22_wo;
+	wire  infinite_output_sign_dffe23_wi;
+	wire  infinite_output_sign_dffe23_wo;
+	wire  infinite_output_sign_dffe25_wi;
+	wire  infinite_output_sign_dffe25_wo;
+	wire  infinite_output_sign_dffe26_wi;
+	wire  infinite_output_sign_dffe26_wo;
+	wire  infinite_output_sign_dffe27_wi;
+	wire  infinite_output_sign_dffe27_wo;
+	wire  infinite_output_sign_dffe2_wi;
+	wire  infinite_output_sign_dffe2_wo;
+	wire  infinite_output_sign_dffe31_wi;
+	wire  infinite_output_sign_dffe31_wo;
+	wire  infinite_output_sign_dffe32_wi;
+	wire  infinite_output_sign_dffe32_wo;
+	wire  infinite_output_sign_dffe33_wi;
+	wire  infinite_output_sign_dffe33_wo;
+	wire  infinite_output_sign_dffe3_wi;
+	wire  infinite_output_sign_dffe3_wo;
+	wire  infinite_output_sign_dffe41_wi;
+	wire  infinite_output_sign_dffe41_wo;
+	wire  infinite_output_sign_dffe42_wi;
+	wire  infinite_output_sign_dffe42_wo;
+	wire  infinite_output_sign_dffe4_wi;
+	wire  infinite_output_sign_dffe4_wo;
+	wire  infinite_res_dff32_wi;
+	wire  infinite_res_dff32_wo;
+	wire  infinite_res_dff33_wi;
+	wire  infinite_res_dff33_wo;
+	wire  infinite_res_dffe3_wi;
+	wire  infinite_res_dffe3_wo;
+	wire  infinite_res_dffe41_wi;
+	wire  infinite_res_dffe41_wo;
+	wire  infinite_res_dffe42_wi;
+	wire  infinite_res_dffe42_wo;
+	wire  infinite_res_dffe4_wi;
+	wire  infinite_res_dffe4_wo;
+	wire  infinity_magnitude_sub_dffe21_wi;
+	wire  infinity_magnitude_sub_dffe21_wo;
+	wire  infinity_magnitude_sub_dffe22_wi;
+	wire  infinity_magnitude_sub_dffe22_wo;
+	wire  infinity_magnitude_sub_dffe23_wi;
+	wire  infinity_magnitude_sub_dffe23_wo;
+	wire  infinity_magnitude_sub_dffe26_wi;
+	wire  infinity_magnitude_sub_dffe26_wo;
+	wire  infinity_magnitude_sub_dffe27_wi;
+	wire  infinity_magnitude_sub_dffe27_wo;
+	wire  infinity_magnitude_sub_dffe2_wi;
+	wire  infinity_magnitude_sub_dffe2_wo;
+	wire  infinity_magnitude_sub_dffe31_wi;
+	wire  infinity_magnitude_sub_dffe31_wo;
+	wire  infinity_magnitude_sub_dffe32_wi;
+	wire  infinity_magnitude_sub_dffe32_wo;
+	wire  infinity_magnitude_sub_dffe33_wi;
+	wire  infinity_magnitude_sub_dffe33_wo;
+	wire  infinity_magnitude_sub_dffe3_wi;
+	wire  infinity_magnitude_sub_dffe3_wo;
+	wire  infinity_magnitude_sub_dffe41_wi;
+	wire  infinity_magnitude_sub_dffe41_wo;
+	wire  infinity_magnitude_sub_dffe42_wi;
+	wire  infinity_magnitude_sub_dffe42_wo;
+	wire  infinity_magnitude_sub_dffe4_wi;
+	wire  infinity_magnitude_sub_dffe4_wo;
+	wire  input_dataa_denormal_dffe11_wi;
+	wire  input_dataa_denormal_dffe11_wo;
+	wire  input_dataa_denormal_w;
+	wire  input_dataa_infinite_dffe11_wi;
+	wire  input_dataa_infinite_dffe11_wo;
+	wire  input_dataa_infinite_dffe12_wi;
+	wire  input_dataa_infinite_dffe12_wo;
+	wire  input_dataa_infinite_dffe13_wi;
+	wire  input_dataa_infinite_dffe13_wo;
+	wire  input_dataa_infinite_dffe14_wi;
+	wire  input_dataa_infinite_dffe14_wo;
+	wire  input_dataa_infinite_dffe15_wi;
+	wire  input_dataa_infinite_dffe15_wo;
+	wire  input_dataa_infinite_w;
+	wire  input_dataa_nan_dffe11_wi;
+	wire  input_dataa_nan_dffe11_wo;
+	wire  input_dataa_nan_dffe12_wi;
+	wire  input_dataa_nan_dffe12_wo;
+	wire  input_dataa_nan_w;
+	wire  input_dataa_zero_dffe11_wi;
+	wire  input_dataa_zero_dffe11_wo;
+	wire  input_dataa_zero_w;
+	wire  input_datab_denormal_dffe11_wi;
+	wire  input_datab_denormal_dffe11_wo;
+	wire  input_datab_denormal_w;
+	wire  input_datab_infinite_dffe11_wi;
+	wire  input_datab_infinite_dffe11_wo;
+	wire  input_datab_infinite_dffe12_wi;
+	wire  input_datab_infinite_dffe12_wo;
+	wire  input_datab_infinite_dffe13_wi;
+	wire  input_datab_infinite_dffe13_wo;
+	wire  input_datab_infinite_dffe14_wi;
+	wire  input_datab_infinite_dffe14_wo;
+	wire  input_datab_infinite_dffe15_wi;
+	wire  input_datab_infinite_dffe15_wo;
+	wire  input_datab_infinite_w;
+	wire  input_datab_nan_dffe11_wi;
+	wire  input_datab_nan_dffe11_wo;
+	wire  input_datab_nan_dffe12_wi;
+	wire  input_datab_nan_dffe12_wo;
+	wire  input_datab_nan_w;
+	wire  input_datab_zero_dffe11_wi;
+	wire  input_datab_zero_dffe11_wo;
+	wire  input_datab_zero_w;
+	wire  input_is_infinite_dffe1_wi;
+	wire  input_is_infinite_dffe1_wo;
+	wire  input_is_infinite_dffe21_wi;
+	wire  input_is_infinite_dffe21_wo;
+	wire  input_is_infinite_dffe22_wi;
+	wire  input_is_infinite_dffe22_wo;
+	wire  input_is_infinite_dffe23_wi;
+	wire  input_is_infinite_dffe23_wo;
+	wire  input_is_infinite_dffe25_wi;
+	wire  input_is_infinite_dffe25_wo;
+	wire  input_is_infinite_dffe26_wi;
+	wire  input_is_infinite_dffe26_wo;
+	wire  input_is_infinite_dffe27_wi;
+	wire  input_is_infinite_dffe27_wo;
+	wire  input_is_infinite_dffe2_wi;
+	wire  input_is_infinite_dffe2_wo;
+	wire  input_is_infinite_dffe31_wi;
+	wire  input_is_infinite_dffe31_wo;
+	wire  input_is_infinite_dffe32_wi;
+	wire  input_is_infinite_dffe32_wo;
+	wire  input_is_infinite_dffe33_wi;
+	wire  input_is_infinite_dffe33_wo;
+	wire  input_is_infinite_dffe3_wi;
+	wire  input_is_infinite_dffe3_wo;
+	wire  input_is_infinite_dffe41_wi;
+	wire  input_is_infinite_dffe41_wo;
+	wire  input_is_infinite_dffe42_wi;
+	wire  input_is_infinite_dffe42_wo;
+	wire  input_is_infinite_dffe4_wi;
+	wire  input_is_infinite_dffe4_wo;
+	wire  input_is_nan_dffe13_wi;
+	wire  input_is_nan_dffe13_wo;
+	wire  input_is_nan_dffe14_wi;
+	wire  input_is_nan_dffe14_wo;
+	wire  input_is_nan_dffe15_wi;
+	wire  input_is_nan_dffe15_wo;
+	wire  input_is_nan_dffe1_wi;
+	wire  input_is_nan_dffe1_wo;
+	wire  input_is_nan_dffe21_wi;
+	wire  input_is_nan_dffe21_wo;
+	wire  input_is_nan_dffe22_wi;
+	wire  input_is_nan_dffe22_wo;
+	wire  input_is_nan_dffe23_wi;
+	wire  input_is_nan_dffe23_wo;
+	wire  input_is_nan_dffe25_wi;
+	wire  input_is_nan_dffe25_wo;
+	wire  input_is_nan_dffe26_wi;
+	wire  input_is_nan_dffe26_wo;
+	wire  input_is_nan_dffe27_wi;
+	wire  input_is_nan_dffe27_wo;
+	wire  input_is_nan_dffe2_wi;
+	wire  input_is_nan_dffe2_wo;
+	wire  input_is_nan_dffe31_wi;
+	wire  input_is_nan_dffe31_wo;
+	wire  input_is_nan_dffe32_wi;
+	wire  input_is_nan_dffe32_wo;
+	wire  input_is_nan_dffe33_wi;
+	wire  input_is_nan_dffe33_wo;
+	wire  input_is_nan_dffe3_wi;
+	wire  input_is_nan_dffe3_wo;
+	wire  input_is_nan_dffe41_wi;
+	wire  input_is_nan_dffe41_wo;
+	wire  input_is_nan_dffe42_wi;
+	wire  input_is_nan_dffe42_wo;
+	wire  input_is_nan_dffe4_wi;
+	wire  input_is_nan_dffe4_wo;
+	wire  [27:0]  man_2comp_res_dataa_w;
+	wire  [27:0]  man_2comp_res_datab_w;
+	wire  [27:0]  man_2comp_res_w;
+	wire  [22:0]  man_a_not_zero_w;
+	wire  [27:0]  man_add_sub_dataa_w;
+	wire  [27:0]  man_add_sub_datab_w;
+	wire  [25:0]  man_add_sub_res_mag_dffe21_wi;
+	wire  [25:0]  man_add_sub_res_mag_dffe21_wo;
+	wire  [25:0]  man_add_sub_res_mag_dffe23_wi;
+	wire  [25:0]  man_add_sub_res_mag_dffe23_wo;
+	wire  [25:0]  man_add_sub_res_mag_dffe26_wi;
+	wire  [25:0]  man_add_sub_res_mag_dffe26_wo;
+	wire  [27:0]  man_add_sub_res_mag_dffe27_wi;
+	wire  [27:0]  man_add_sub_res_mag_dffe27_wo;
+	wire  [27:0]  man_add_sub_res_mag_w2;
+	wire  man_add_sub_res_sign_dffe21_wo;
+	wire  man_add_sub_res_sign_dffe23_wi;
+	wire  man_add_sub_res_sign_dffe23_wo;
+	wire  man_add_sub_res_sign_dffe26_wi;
+	wire  man_add_sub_res_sign_dffe26_wo;
+	wire  man_add_sub_res_sign_dffe27_wi;
+	wire  man_add_sub_res_sign_dffe27_wo;
+	wire  man_add_sub_res_sign_w2;
+	wire  [27:0]  man_add_sub_w;
+	wire  [22:0]  man_all_zeros_w;
+	wire  [22:0]  man_b_not_zero_w;
+	wire  [25:0]  man_dffe31_wo;
+	wire  [25:0]  man_intermediate_res_w;
+	wire  [4:0]  man_leading_zeros_cnt_w;
+	wire  [4:0]  man_leading_zeros_dffe31_wi;
+	wire  [4:0]  man_leading_zeros_dffe31_wo;
+	wire  [22:0]  man_nan_w;
+	wire  [22:0]  man_out_dffe5_wi;
+	wire  [22:0]  man_out_dffe5_wo;
+	wire  [22:0]  man_res_dffe4_wi;
+	wire  [22:0]  man_res_dffe4_wo;
+	wire  man_res_is_not_zero_dffe31_wi;
+	wire  man_res_is_not_zero_dffe31_wo;
+	wire  man_res_is_not_zero_dffe32_wi;
+	wire  man_res_is_not_zero_dffe32_wo;
+	wire  man_res_is_not_zero_dffe33_wi;
+	wire  man_res_is_not_zero_dffe33_wo;
+	wire  man_res_is_not_zero_dffe3_wi;
+	wire  man_res_is_not_zero_dffe3_wo;
+	wire  man_res_is_not_zero_dffe41_wi;
+	wire  man_res_is_not_zero_dffe41_wo;
+	wire  man_res_is_not_zero_dffe42_wi;
+	wire  man_res_is_not_zero_dffe42_wo;
+	wire  man_res_is_not_zero_dffe4_wi;
+	wire  man_res_is_not_zero_dffe4_wo;
+	wire  [25:0]  man_res_mag_w2;
+	wire  man_res_not_zero_dffe23_wi;
+	wire  man_res_not_zero_dffe23_wo;
+	wire  man_res_not_zero_dffe26_wi;
+	wire  man_res_not_zero_dffe26_wo;
+	wire  [24:0]  man_res_not_zero_w2;
+	wire  [25:0]  man_res_rounding_add_sub_datab_w;
+	wire  [25:0]  man_res_rounding_add_sub_w;
+	wire  [23:0]  man_res_w3;
+	wire  [22:0]  man_rounded_res_w;
+	wire  man_rounding_add_value_w;
+	wire  [23:0]  man_smaller_dffe13_wi;
+	wire  [23:0]  man_smaller_dffe13_wo;
+	wire  [23:0]  man_smaller_w;
+	wire  need_complement_dffe22_wi;
+	wire  need_complement_dffe22_wo;
+	wire  need_complement_dffe2_wi;
+	wire  need_complement_dffe2_wo;
+	wire  [1:0]  pos_sign_bit_ext;
+	wire  [3:0]  priority_encoder_1pads_w;
+	wire  round_bit_dffe21_wi;
+	wire  round_bit_dffe21_wo;
+	wire  round_bit_dffe23_wi;
+	wire  round_bit_dffe23_wo;
+	wire  round_bit_dffe26_wi;
+	wire  round_bit_dffe26_wo;
+	wire  round_bit_dffe31_wi;
+	wire  round_bit_dffe31_wo;
+	wire  round_bit_dffe32_wi;
+	wire  round_bit_dffe32_wo;
+	wire  round_bit_dffe33_wi;
+	wire  round_bit_dffe33_wo;
+	wire  round_bit_dffe3_wi;
+	wire  round_bit_dffe3_wo;
+	wire  round_bit_w;
+	wire  rounded_res_infinity_dffe4_wi;
+	wire  rounded_res_infinity_dffe4_wo;
+	wire  [4:0]  rshift_distance_dffe13_wi;
+	wire  [4:0]  rshift_distance_dffe13_wo;
+	wire  [4:0]  rshift_distance_dffe14_wi;
+	wire  [4:0]  rshift_distance_dffe14_wo;
+	wire  [4:0]  rshift_distance_dffe15_wi;
+	wire  [4:0]  rshift_distance_dffe15_wo;
+	wire  [4:0]  rshift_distance_w;
+	wire  sign_dffe31_wi;
+	wire  sign_dffe31_wo;
+	wire  sign_dffe32_wi;
+	wire  sign_dffe32_wo;
+	wire  sign_dffe33_wi;
+	wire  sign_dffe33_wo;
+	wire  sign_out_dffe5_wi;
+	wire  sign_out_dffe5_wo;
+	wire  sign_res_dffe3_wi;
+	wire  sign_res_dffe3_wo;
+	wire  sign_res_dffe41_wi;
+	wire  sign_res_dffe41_wo;
+	wire  sign_res_dffe42_wi;
+	wire  sign_res_dffe42_wo;
+	wire  sign_res_dffe4_wi;
+	wire  sign_res_dffe4_wo;
+	wire  [5:0]  sticky_bit_cnt_dataa_w;
+	wire  [5:0]  sticky_bit_cnt_datab_w;
+	wire  [5:0]  sticky_bit_cnt_res_w;
+	wire  sticky_bit_dffe1_wi;
+	wire  sticky_bit_dffe1_wo;
+	wire  sticky_bit_dffe21_wi;
+	wire  sticky_bit_dffe21_wo;
+	wire  sticky_bit_dffe22_wi;
+	wire  sticky_bit_dffe22_wo;
+	wire  sticky_bit_dffe23_wi;
+	wire  sticky_bit_dffe23_wo;
+	wire  sticky_bit_dffe25_wi;
+	wire  sticky_bit_dffe25_wo;
+	wire  sticky_bit_dffe26_wi;
+	wire  sticky_bit_dffe26_wo;
+	wire  sticky_bit_dffe27_wi;
+	wire  sticky_bit_dffe27_wo;
+	wire  sticky_bit_dffe2_wi;
+	wire  sticky_bit_dffe2_wo;
+	wire  sticky_bit_dffe31_wi;
+	wire  sticky_bit_dffe31_wo;
+	wire  sticky_bit_dffe32_wi;
+	wire  sticky_bit_dffe32_wo;
+	wire  sticky_bit_dffe33_wi;
+	wire  sticky_bit_dffe33_wo;
+	wire  sticky_bit_dffe3_wi;
+	wire  sticky_bit_dffe3_wo;
+	wire  sticky_bit_w;
+	wire  [5:0]  trailing_zeros_limit_w;
+	wire  zero_man_sign_dffe21_wi;
+	wire  zero_man_sign_dffe21_wo;
+	wire  zero_man_sign_dffe22_wi;
+	wire  zero_man_sign_dffe22_wo;
+	wire  zero_man_sign_dffe23_wi;
+	wire  zero_man_sign_dffe23_wo;
+	wire  zero_man_sign_dffe26_wi;
+	wire  zero_man_sign_dffe26_wo;
+	wire  zero_man_sign_dffe27_wi;
+	wire  zero_man_sign_dffe27_wo;
+	wire  zero_man_sign_dffe2_wi;
+	wire  zero_man_sign_dffe2_wo;
+
+	fpoint_qsys_addsub_single_altbarrel_shift_fjg   lbarrel_shift
+	( 
+	.aclr(aclr),
+	.clk_en(clk_en),
+	.clock(clock),
+	.data(man_dffe31_wo),
+	.distance(man_leading_zeros_cnt_w),
+	.result(wire_lbarrel_shift_result));
+	fpoint_qsys_addsub_single_altbarrel_shift_44e   rbarrel_shift
+	( 
+	.data({man_smaller_dffe13_wo, {2{1'b0}}}),
+	.distance(rshift_distance_dffe13_wo),
+	.result(wire_rbarrel_shift_result));
+	fpoint_qsys_addsub_single_altpriority_encoder_9u8   leading_zeroes_cnt
+	( 
+	.data({man_add_sub_res_mag_dffe21_wo[25:1], 1'b1, {6{1'b0}}}),
+	.q(wire_leading_zeroes_cnt_q));
+	fpoint_qsys_addsub_single_altpriority_encoder_tma   trailing_zeros_cnt
+	( 
+	.data({{9{1'b1}}, man_smaller_dffe13_wo[22:0]}),
+	.q(wire_trailing_zeros_cnt_q));
+	// synopsys translate_off
+	initial
+		add_sub_dffe1 = 0;
+	// synopsys translate_on
+	always @ ( posedge clock or  posedge aclr)
+		if (aclr == 1'b1) add_sub_dffe1 <= 1'b0;
+		else if  (clk_en == 1'b1)   add_sub_dffe1 <= add_sub_dffe1_wi;
+	// synopsys translate_off
+	initial
+		add_sub_dffe12 = 0;
+	// synopsys translate_on
+	always @ ( posedge clock or  posedge aclr)
+		if (aclr == 1'b1) add_sub_dffe12 <= 1'b0;
+		else if  (clk_en == 1'b1)   add_sub_dffe12 <= add_sub_dffe12_wi;
+	// synopsys translate_off
+	initial
+		aligned_dataa_exp_dffe12 = 0;
+	// synopsys translate_on
+	always @ ( posedge clock or  posedge aclr)
+		if (aclr == 1'b1) aligned_dataa_exp_dffe12 <= 9'b0;
+		else if  (clk_en == 1'b1)   aligned_dataa_exp_dffe12 <= aligned_dataa_exp_dffe12_wi;
+	// synopsys translate_off
+	initial
+		aligned_dataa_man_dffe12 = 0;
+	// synopsys translate_on
+	always @ ( posedge clock or  posedge aclr)
+		if (aclr == 1'b1) aligned_dataa_man_dffe12 <= 24'b0;
+		else if  (clk_en == 1'b1)   aligned_dataa_man_dffe12 <= aligned_dataa_man_dffe12_wi;
+	// synopsys translate_off
+	initial
+		aligned_dataa_sign_dffe12 = 0;
+	// synopsys translate_on
+	always @ ( posedge clock or  posedge aclr)
+		if (aclr == 1'b1) aligned_dataa_sign_dffe12 <= 1'b0;
+		else if  (clk_en == 1'b1)   aligned_dataa_sign_dffe12 <= aligned_dataa_sign_dffe12_wi;
+	// synopsys translate_off
+	initial
+		aligned_datab_exp_dffe12 = 0;
+	// synopsys translate_on
+	always @ ( posedge clock or  posedge aclr)
+		if (aclr == 1'b1) aligned_datab_exp_dffe12 <= 9'b0;
+		else if  (clk_en == 1'b1)   aligned_datab_exp_dffe12 <= aligned_datab_exp_dffe12_wi;
+	// synopsys translate_off
+	initial
+		aligned_datab_man_dffe12 = 0;
+	// synopsys translate_on
+	always @ ( posedge clock or  posedge aclr)
+		if (aclr == 1'b1) aligned_datab_man_dffe12 <= 24'b0;
+		else if  (clk_en == 1'b1)   aligned_datab_man_dffe12 <= aligned_datab_man_dffe12_wi;
+	// synopsys translate_off
+	initial
+		aligned_datab_sign_dffe12 = 0;
+	// synopsys translate_on
+	always @ ( posedge clock or  posedge aclr)
+		if (aclr == 1'b1) aligned_datab_sign_dffe12 <= 1'b0;
+		else if  (clk_en == 1'b1)   aligned_datab_sign_dffe12 <= aligned_datab_sign_dffe12_wi;
+	// synopsys translate_off
+	initial
+		both_inputs_are_infinite_dffe1 = 0;
+	// synopsys translate_on
+	always @ ( posedge clock or  posedge aclr)
+		if (aclr == 1'b1) both_inputs_are_infinite_dffe1 <= 1'b0;
+		else if  (clk_en == 1'b1)   both_inputs_are_infinite_dffe1 <= both_inputs_are_infinite_dffe1_wi;
+	// synopsys translate_off
+	initial
+		data_exp_dffe1 = 0;
+	// synopsys translate_on
+	always @ ( posedge clock or  posedge aclr)
+		if (aclr == 1'b1) data_exp_dffe1 <= 8'b0;
+		else if  (clk_en == 1'b1)   data_exp_dffe1 <= data_exp_dffe1_wi;
+	// synopsys translate_off
+	initial
+		dataa_man_dffe1 = 0;
+	// synopsys translate_on
+	always @ ( posedge clock or  posedge aclr)
+		if (aclr == 1'b1) dataa_man_dffe1 <= 26'b0;
+		else if  (clk_en == 1'b1)   dataa_man_dffe1 <= dataa_man_dffe1_wi;
+	// synopsys translate_off
+	initial
+		dataa_sign_dffe1 = 0;
+	// synopsys translate_on
+	always @ ( posedge clock or  posedge aclr)
+		if (aclr == 1'b1) dataa_sign_dffe1 <= 1'b0;
+		else if  (clk_en == 1'b1)   dataa_sign_dffe1 <= dataa_sign_dffe1_wi;
+	// synopsys translate_off
+	initial
+		datab_man_dffe1 = 0;
+	// synopsys translate_on
+	always @ ( posedge clock or  posedge aclr)
+		if (aclr == 1'b1) datab_man_dffe1 <= 26'b0;
+		else if  (clk_en == 1'b1)   datab_man_dffe1 <= datab_man_dffe1_wi;
+	// synopsys translate_off
+	initial
+		datab_sign_dffe1 = 0;
+	// synopsys translate_on
+	always @ ( posedge clock or  posedge aclr)
+		if (aclr == 1'b1) datab_sign_dffe1 <= 1'b0;
+		else if  (clk_en == 1'b1)   datab_sign_dffe1 <= datab_sign_dffe1_wi;
+	// synopsys translate_off
+	initial
+		denormal_res_dffe3 = 0;
+	// synopsys translate_on
+	always @ ( posedge clock or  posedge aclr)
+		if (aclr == 1'b1) denormal_res_dffe3 <= 1'b0;
+		else if  (clk_en == 1'b1)   denormal_res_dffe3 <= denormal_res_dffe3_wi;
+	// synopsys translate_off
+	initial
+		denormal_res_dffe4 = 0;
+	// synopsys translate_on
+	always @ ( posedge clock or  posedge aclr)
+		if (aclr == 1'b1) denormal_res_dffe4 <= 1'b0;
+		else if  (clk_en == 1'b1)   denormal_res_dffe4 <= denormal_res_dffe4_wi;
+	// synopsys translate_off
+	initial
+		exp_adj_dffe21 = 0;
+	// synopsys translate_on
+	always @ ( posedge clock or  posedge aclr)
+		if (aclr == 1'b1) exp_adj_dffe21 <= 2'b0;
+		else if  (clk_en == 1'b1)   exp_adj_dffe21 <= exp_adj_dffe21_wi;
+	// synopsys translate_off
+	initial
+		exp_out_dffe5 = 0;
+	// synopsys translate_on
+	always @ ( posedge clock or  posedge aclr)
+		if (aclr == 1'b1) exp_out_dffe5 <= 8'b0;
+		else if  (clk_en == 1'b1)   exp_out_dffe5 <= exp_out_dffe5_wi;
+	// synopsys translate_off
+	initial
+		exp_res_dffe2 = 0;
+	// synopsys translate_on
+	always @ ( posedge clock or  posedge aclr)
+		if (aclr == 1'b1) exp_res_dffe2 <= 8'b0;
+		else if  (clk_en == 1'b1)   exp_res_dffe2 <= exp_res_dffe2_wi;
+	// synopsys translate_off
+	initial
+		exp_res_dffe21 = 0;
+	// synopsys translate_on
+	always @ ( posedge clock or  posedge aclr)
+		if (aclr == 1'b1) exp_res_dffe21 <= 8'b0;
+		else if  (clk_en == 1'b1)   exp_res_dffe21 <= exp_res_dffe21_wi;
+	// synopsys translate_off
+	initial
+		exp_res_dffe3 = 0;
+	// synopsys translate_on
+	always @ ( posedge clock or  posedge aclr)
+		if (aclr == 1'b1) exp_res_dffe3 <= 8'b0;
+		else if  (clk_en == 1'b1)   exp_res_dffe3 <= exp_res_dffe3_wi;
+	// synopsys translate_off
+	initial
+		exp_res_dffe4 = 0;
+	// synopsys translate_on
+	always @ ( posedge clock or  posedge aclr)
+		if (aclr == 1'b1) exp_res_dffe4 <= 8'b0;
+		else if  (clk_en == 1'b1)   exp_res_dffe4 <= exp_res_dffe4_wi;
+	// synopsys translate_off
+	initial
+		infinite_output_sign_dffe1 = 0;
+	// synopsys translate_on
+	always @ ( posedge clock or  posedge aclr)
+		if (aclr == 1'b1) infinite_output_sign_dffe1 <= 1'b0;
+		else if  (clk_en == 1'b1)   infinite_output_sign_dffe1 <= infinite_output_sign_dffe1_wi;
+	// synopsys translate_off
+	initial
+		infinite_output_sign_dffe2 = 0;
+	// synopsys translate_on
+	always @ ( posedge clock or  posedge aclr)
+		if (aclr == 1'b1) infinite_output_sign_dffe2 <= 1'b0;
+		else if  (clk_en == 1'b1)   infinite_output_sign_dffe2 <= infinite_output_sign_dffe2_wi;
+	// synopsys translate_off
+	initial
+		infinite_output_sign_dffe21 = 0;
+	// synopsys translate_on
+	always @ ( posedge clock or  posedge aclr)
+		if (aclr == 1'b1) infinite_output_sign_dffe21 <= 1'b0;
+		else if  (clk_en == 1'b1)   infinite_output_sign_dffe21 <= infinite_output_sign_dffe21_wi;
+	// synopsys translate_off
+	initial
+		infinite_output_sign_dffe3 = 0;
+	// synopsys translate_on
+	always @ ( posedge clock or  posedge aclr)
+		if (aclr == 1'b1) infinite_output_sign_dffe3 <= 1'b0;
+		else if  (clk_en == 1'b1)   infinite_output_sign_dffe3 <= infinite_output_sign_dffe3_wi;
+	// synopsys translate_off
+	initial
+		infinite_output_sign_dffe31 = 0;
+	// synopsys translate_on
+	always @ ( posedge clock or  posedge aclr)
+		if (aclr == 1'b1) infinite_output_sign_dffe31 <= 1'b0;
+		else if  (clk_en == 1'b1)   infinite_output_sign_dffe31 <= infinite_output_sign_dffe31_wi;
+	// synopsys translate_off
+	initial
+		infinite_output_sign_dffe4 = 0;
+	// synopsys translate_on
+	always @ ( posedge clock or  posedge aclr)
+		if (aclr == 1'b1) infinite_output_sign_dffe4 <= 1'b0;
+		else if  (clk_en == 1'b1)   infinite_output_sign_dffe4 <= infinite_output_sign_dffe4_wi;
+	// synopsys translate_off
+	initial
+		infinite_res_dffe3 = 0;
+	// synopsys translate_on
+	always @ ( posedge clock or  posedge aclr)
+		if (aclr == 1'b1) infinite_res_dffe3 <= 1'b0;
+		else if  (clk_en == 1'b1)   infinite_res_dffe3 <= infinite_res_dffe3_wi;
+	// synopsys translate_off
+	initial
+		infinite_res_dffe4 = 0;
+	// synopsys translate_on
+	always @ ( posedge clock or  posedge aclr)
+		if (aclr == 1'b1) infinite_res_dffe4 <= 1'b0;
+		else if  (clk_en == 1'b1)   infinite_res_dffe4 <= infinite_res_dffe4_wi;
+	// synopsys translate_off
+	initial
+		infinity_magnitude_sub_dffe2 = 0;
+	// synopsys translate_on
+	always @ ( posedge clock or  posedge aclr)
+		if (aclr == 1'b1) infinity_magnitude_sub_dffe2 <= 1'b0;
+		else if  (clk_en == 1'b1)   infinity_magnitude_sub_dffe2 <= infinity_magnitude_sub_dffe2_wi;
+	// synopsys translate_off
+	initial
+		infinity_magnitude_sub_dffe21 = 0;
+	// synopsys translate_on
+	always @ ( posedge clock or  posedge aclr)
+		if (aclr == 1'b1) infinity_magnitude_sub_dffe21 <= 1'b0;
+		else if  (clk_en == 1'b1)   infinity_magnitude_sub_dffe21 <= infinity_magnitude_sub_dffe21_wi;
+	// synopsys translate_off
+	initial
+		infinity_magnitude_sub_dffe3 = 0;
+	// synopsys translate_on
+	always @ ( posedge clock or  posedge aclr)
+		if (aclr == 1'b1) infinity_magnitude_sub_dffe3 <= 1'b0;
+		else if  (clk_en == 1'b1)   infinity_magnitude_sub_dffe3 <= infinity_magnitude_sub_dffe3_wi;
+	// synopsys translate_off
+	initial
+		infinity_magnitude_sub_dffe31 = 0;
+	// synopsys translate_on
+	always @ ( posedge clock or  posedge aclr)
+		if (aclr == 1'b1) infinity_magnitude_sub_dffe31 <= 1'b0;
+		else if  (clk_en == 1'b1)   infinity_magnitude_sub_dffe31 <= infinity_magnitude_sub_dffe31_wi;
+	// synopsys translate_off
+	initial
+		infinity_magnitude_sub_dffe4 = 0;
+	// synopsys translate_on
+	always @ ( posedge clock or  posedge aclr)
+		if (aclr == 1'b1) infinity_magnitude_sub_dffe4 <= 1'b0;
+		else if  (clk_en == 1'b1)   infinity_magnitude_sub_dffe4 <= infinity_magnitude_sub_dffe4_wi;
+	// synopsys translate_off
+	initial
+		input_dataa_infinite_dffe12 = 0;
+	// synopsys translate_on
+	always @ ( posedge clock or  posedge aclr)
+		if (aclr == 1'b1) input_dataa_infinite_dffe12 <= 1'b0;
+		else if  (clk_en == 1'b1)   input_dataa_infinite_dffe12 <= input_dataa_infinite_dffe12_wi;
+	// synopsys translate_off
+	initial
+		input_dataa_nan_dffe12 = 0;
+	// synopsys translate_on
+	always @ ( posedge clock or  posedge aclr)
+		if (aclr == 1'b1) input_dataa_nan_dffe12 <= 1'b0;
+		else if  (clk_en == 1'b1)   input_dataa_nan_dffe12 <= input_dataa_nan_dffe12_wi;
+	// synopsys translate_off
+	initial
+		input_datab_infinite_dffe12 = 0;
+	// synopsys translate_on
+	always @ ( posedge clock or  posedge aclr)
+		if (aclr == 1'b1) input_datab_infinite_dffe12 <= 1'b0;
+		else if  (clk_en == 1'b1)   input_datab_infinite_dffe12 <= input_datab_infinite_dffe12_wi;
+	// synopsys translate_off
+	initial
+		input_datab_nan_dffe12 = 0;
+	// synopsys translate_on
+	always @ ( posedge clock or  posedge aclr)
+		if (aclr == 1'b1) input_datab_nan_dffe12 <= 1'b0;
+		else if  (clk_en == 1'b1)   input_datab_nan_dffe12 <= input_datab_nan_dffe12_wi;
+	// synopsys translate_off
+	initial
+		input_is_infinite_dffe1 = 0;
+	// synopsys translate_on
+	always @ ( posedge clock or  posedge aclr)
+		if (aclr == 1'b1) input_is_infinite_dffe1 <= 1'b0;
+		else if  (clk_en == 1'b1)   input_is_infinite_dffe1 <= input_is_infinite_dffe1_wi;
+	// synopsys translate_off
+	initial
+		input_is_infinite_dffe2 = 0;
+	// synopsys translate_on
+	always @ ( posedge clock or  posedge aclr)
+		if (aclr == 1'b1) input_is_infinite_dffe2 <= 1'b0;
+		else if  (clk_en == 1'b1)   input_is_infinite_dffe2 <= input_is_infinite_dffe2_wi;
+	// synopsys translate_off
+	initial
+		input_is_infinite_dffe21 = 0;
+	// synopsys translate_on
+	always @ ( posedge clock or  posedge aclr)
+		if (aclr == 1'b1) input_is_infinite_dffe21 <= 1'b0;
+		else if  (clk_en == 1'b1)   input_is_infinite_dffe21 <= input_is_infinite_dffe21_wi;
+	// synopsys translate_off
+	initial
+		input_is_infinite_dffe3 = 0;
+	// synopsys translate_on
+	always @ ( posedge clock or  posedge aclr)
+		if (aclr == 1'b1) input_is_infinite_dffe3 <= 1'b0;
+		else if  (clk_en == 1'b1)   input_is_infinite_dffe3 <= input_is_infinite_dffe3_wi;
+	// synopsys translate_off
+	initial
+		input_is_infinite_dffe31 = 0;
+	// synopsys translate_on
+	always @ ( posedge clock or  posedge aclr)
+		if (aclr == 1'b1) input_is_infinite_dffe31 <= 1'b0;
+		else if  (clk_en == 1'b1)   input_is_infinite_dffe31 <= input_is_infinite_dffe31_wi;
+	// synopsys translate_off
+	initial
+		input_is_infinite_dffe4 = 0;
+	// synopsys translate_on
+	always @ ( posedge clock or  posedge aclr)
+		if (aclr == 1'b1) input_is_infinite_dffe4 <= 1'b0;
+		else if  (clk_en == 1'b1)   input_is_infinite_dffe4 <= input_is_infinite_dffe4_wi;
+	// synopsys translate_off
+	initial
+		input_is_nan_dffe1 = 0;
+	// synopsys translate_on
+	always @ ( posedge clock or  posedge aclr)
+		if (aclr == 1'b1) input_is_nan_dffe1 <= 1'b0;
+		else if  (clk_en == 1'b1)   input_is_nan_dffe1 <= input_is_nan_dffe1_wi;
+	// synopsys translate_off
+	initial
+		input_is_nan_dffe2 = 0;
+	// synopsys translate_on
+	always @ ( posedge clock or  posedge aclr)
+		if (aclr == 1'b1) input_is_nan_dffe2 <= 1'b0;
+		else if  (clk_en == 1'b1)   input_is_nan_dffe2 <= input_is_nan_dffe2_wi;
+	// synopsys translate_off
+	initial
+		input_is_nan_dffe21 = 0;
+	// synopsys translate_on
+	always @ ( posedge clock or  posedge aclr)
+		if (aclr == 1'b1) input_is_nan_dffe21 <= 1'b0;
+		else if  (clk_en == 1'b1)   input_is_nan_dffe21 <= input_is_nan_dffe21_wi;
+	// synopsys translate_off
+	initial
+		input_is_nan_dffe3 = 0;
+	// synopsys translate_on
+	always @ ( posedge clock or  posedge aclr)
+		if (aclr == 1'b1) input_is_nan_dffe3 <= 1'b0;
+		else if  (clk_en == 1'b1)   input_is_nan_dffe3 <= input_is_nan_dffe3_wi;
+	// synopsys translate_off
+	initial
+		input_is_nan_dffe31 = 0;
+	// synopsys translate_on
+	always @ ( posedge clock or  posedge aclr)
+		if (aclr == 1'b1) input_is_nan_dffe31 <= 1'b0;
+		else if  (clk_en == 1'b1)   input_is_nan_dffe31 <= input_is_nan_dffe31_wi;
+	// synopsys translate_off
+	initial
+		input_is_nan_dffe4 = 0;
+	// synopsys translate_on
+	always @ ( posedge clock or  posedge aclr)
+		if (aclr == 1'b1) input_is_nan_dffe4 <= 1'b0;
+		else if  (clk_en == 1'b1)   input_is_nan_dffe4 <= input_is_nan_dffe4_wi;
+	// synopsys translate_off
+	initial
+		man_add_sub_res_mag_dffe21 = 0;
+	// synopsys translate_on
+	always @ ( posedge clock or  posedge aclr)
+		if (aclr == 1'b1) man_add_sub_res_mag_dffe21 <= 26'b0;
+		else if  (clk_en == 1'b1)   man_add_sub_res_mag_dffe21 <= man_add_sub_res_mag_dffe21_wi;
+	// synopsys translate_off
+	initial
+		man_add_sub_res_sign_dffe21 = 0;
+	// synopsys translate_on
+	always @ ( posedge clock or  posedge aclr)
+		if (aclr == 1'b1) man_add_sub_res_sign_dffe21 <= 1'b0;
+		else if  (clk_en == 1'b1)   man_add_sub_res_sign_dffe21 <= man_add_sub_res_sign_dffe27_wo;
+	// synopsys translate_off
+	initial
+		man_dffe31 = 0;
+	// synopsys translate_on
+	always @ ( posedge clock or  posedge aclr)
+		if (aclr == 1'b1) man_dffe31 <= 26'b0;
+		else if  (clk_en == 1'b1)   man_dffe31 <= man_add_sub_res_mag_dffe26_wo;
+	// synopsys translate_off
+	initial
+		man_leading_zeros_dffe31 = 0;
+	// synopsys translate_on
+	always @ ( posedge clock or  posedge aclr)
+		if (aclr == 1'b1) man_leading_zeros_dffe31 <= 5'b0;
+		else if  (clk_en == 1'b1)   man_leading_zeros_dffe31 <= man_leading_zeros_dffe31_wi;
+	// synopsys translate_off
+	initial
+		man_out_dffe5 = 0;
+	// synopsys translate_on
+	always @ ( posedge clock or  posedge aclr)
+		if (aclr == 1'b1) man_out_dffe5 <= 23'b0;
+		else if  (clk_en == 1'b1)   man_out_dffe5 <= man_out_dffe5_wi;
+	// synopsys translate_off
+	initial
+		man_res_dffe4 = 0;
+	// synopsys translate_on
+	always @ ( posedge clock or  posedge aclr)
+		if (aclr == 1'b1) man_res_dffe4 <= 23'b0;
+		else if  (clk_en == 1'b1)   man_res_dffe4 <= man_res_dffe4_wi;
+	// synopsys translate_off
+	initial
+		man_res_is_not_zero_dffe3 = 0;
+	// synopsys translate_on
+	always @ ( posedge clock or  posedge aclr)
+		if (aclr == 1'b1) man_res_is_not_zero_dffe3 <= 1'b0;
+		else if  (clk_en == 1'b1)   man_res_is_not_zero_dffe3 <= man_res_is_not_zero_dffe3_wi;
+	// synopsys translate_off
+	initial
+		man_res_is_not_zero_dffe31 = 0;
+	// synopsys translate_on
+	always @ ( posedge clock or  posedge aclr)
+		if (aclr == 1'b1) man_res_is_not_zero_dffe31 <= 1'b0;
+		else if  (clk_en == 1'b1)   man_res_is_not_zero_dffe31 <= man_res_is_not_zero_dffe31_wi;
+	// synopsys translate_off
+	initial
+		man_res_is_not_zero_dffe4 = 0;
+	// synopsys translate_on
+	always @ ( posedge clock or  posedge aclr)
+		if (aclr == 1'b1) man_res_is_not_zero_dffe4 <= 1'b0;
+		else if  (clk_en == 1'b1)   man_res_is_not_zero_dffe4 <= man_res_is_not_zero_dffe4_wi;
+	// synopsys translate_off
+	initial
+		need_complement_dffe2 = 0;
+	// synopsys translate_on
+	always @ ( posedge clock or  posedge aclr)
+		if (aclr == 1'b1) need_complement_dffe2 <= 1'b0;
+		else if  (clk_en == 1'b1)   need_complement_dffe2 <= need_complement_dffe2_wi;
+	// synopsys translate_off
+	initial
+		round_bit_dffe21 = 0;
+	// synopsys translate_on
+	always @ ( posedge clock or  posedge aclr)
+		if (aclr == 1'b1) round_bit_dffe21 <= 1'b0;
+		else if  (clk_en == 1'b1)   round_bit_dffe21 <= round_bit_dffe21_wi;
+	// synopsys translate_off
+	initial
+		round_bit_dffe3 = 0;
+	// synopsys translate_on
+	always @ ( posedge clock or  posedge aclr)
+		if (aclr == 1'b1) round_bit_dffe3 <= 1'b0;
+		else if  (clk_en == 1'b1)   round_bit_dffe3 <= round_bit_dffe3_wi;
+	// synopsys translate_off
+	initial
+		round_bit_dffe31 = 0;
+	// synopsys translate_on
+	always @ ( posedge clock or  posedge aclr)
+		if (aclr == 1'b1) round_bit_dffe31 <= 1'b0;
+		else if  (clk_en == 1'b1)   round_bit_dffe31 <= round_bit_dffe31_wi;
+	// synopsys translate_off
+	initial
+		rounded_res_infinity_dffe4 = 0;
+	// synopsys translate_on
+	always @ ( posedge clock or  posedge aclr)
+		if (aclr == 1'b1) rounded_res_infinity_dffe4 <= 1'b0;
+		else if  (clk_en == 1'b1)   rounded_res_infinity_dffe4 <= rounded_res_infinity_dffe4_wi;
+	// synopsys translate_off
+	initial
+		sign_dffe31 = 0;
+	// synopsys translate_on
+	always @ ( posedge clock or  posedge aclr)
+		if (aclr == 1'b1) sign_dffe31 <= 1'b0;
+		else if  (clk_en == 1'b1)   sign_dffe31 <= sign_dffe31_wi;
+	// synopsys translate_off
+	initial
+		sign_out_dffe5 = 0;
+	// synopsys translate_on
+	always @ ( posedge clock or  posedge aclr)
+		if (aclr == 1'b1) sign_out_dffe5 <= 1'b0;
+		else if  (clk_en == 1'b1)   sign_out_dffe5 <= sign_out_dffe5_wi;
+	// synopsys translate_off
+	initial
+		sign_res_dffe3 = 0;
+	// synopsys translate_on
+	always @ ( posedge clock or  posedge aclr)
+		if (aclr == 1'b1) sign_res_dffe3 <= 1'b0;
+		else if  (clk_en == 1'b1)   sign_res_dffe3 <= sign_res_dffe3_wi;
+	// synopsys translate_off
+	initial
+		sign_res_dffe4 = 0;
+	// synopsys translate_on
+	always @ ( posedge clock or  posedge aclr)
+		if (aclr == 1'b1) sign_res_dffe4 <= 1'b0;
+		else if  (clk_en == 1'b1)   sign_res_dffe4 <= sign_res_dffe4_wi;
+	// synopsys translate_off
+	initial
+		sticky_bit_dffe1 = 0;
+	// synopsys translate_on
+	always @ ( posedge clock or  posedge aclr)
+		if (aclr == 1'b1) sticky_bit_dffe1 <= 1'b0;
+		else if  (clk_en == 1'b1)   sticky_bit_dffe1 <= sticky_bit_dffe1_wi;
+	// synopsys translate_off
+	initial
+		sticky_bit_dffe2 = 0;
+	// synopsys translate_on
+	always @ ( posedge clock or  posedge aclr)
+		if (aclr == 1'b1) sticky_bit_dffe2 <= 1'b0;
+		else if  (clk_en == 1'b1)   sticky_bit_dffe2 <= sticky_bit_dffe2_wi;
+	// synopsys translate_off
+	initial
+		sticky_bit_dffe21 = 0;
+	// synopsys translate_on
+	always @ ( posedge clock or  posedge aclr)
+		if (aclr == 1'b1) sticky_bit_dffe21 <= 1'b0;
+		else if  (clk_en == 1'b1)   sticky_bit_dffe21 <= sticky_bit_dffe21_wi;
+	// synopsys translate_off
+	initial
+		sticky_bit_dffe3 = 0;
+	// synopsys translate_on
+	always @ ( posedge clock or  posedge aclr)
+		if (aclr == 1'b1) sticky_bit_dffe3 <= 1'b0;
+		else if  (clk_en == 1'b1)   sticky_bit_dffe3 <= sticky_bit_dffe3_wi;
+	// synopsys translate_off
+	initial
+		sticky_bit_dffe31 = 0;
+	// synopsys translate_on
+	always @ ( posedge clock or  posedge aclr)
+		if (aclr == 1'b1) sticky_bit_dffe31 <= 1'b0;
+		else if  (clk_en == 1'b1)   sticky_bit_dffe31 <= sticky_bit_dffe31_wi;
+	// synopsys translate_off
+	initial
+		zero_man_sign_dffe2 = 0;
+	// synopsys translate_on
+	always @ ( posedge clock or  posedge aclr)
+		if (aclr == 1'b1) zero_man_sign_dffe2 <= 1'b0;
+		else if  (clk_en == 1'b1)   zero_man_sign_dffe2 <= zero_man_sign_dffe2_wi;
+	// synopsys translate_off
+	initial
+		zero_man_sign_dffe21 = 0;
+	// synopsys translate_on
+	always @ ( posedge clock or  posedge aclr)
+		if (aclr == 1'b1) zero_man_sign_dffe21 <= 1'b0;
+		else if  (clk_en == 1'b1)   zero_man_sign_dffe21 <= zero_man_sign_dffe21_wi;
+	lpm_add_sub   add_sub1
+	( 
+	.aclr(aclr),
+	.clken(clk_en),
+	.clock(clock),
+	.cout(),
+	.dataa(aligned_dataa_exp_w),
+	.datab(aligned_datab_exp_w),
+	.overflow(),
+	.result(wire_add_sub1_result)
+	`ifndef FORMAL_VERIFICATION
+	// synopsys translate_off
+	`endif
+	,
+	.add_sub(1'b1),
+	.cin()
+	`ifndef FORMAL_VERIFICATION
+	// synopsys translate_on
+	`endif
+	);
+	defparam
+		add_sub1.lpm_direction = "SUB",
+		add_sub1.lpm_pipeline = 1,
+		add_sub1.lpm_representation = "SIGNED",
+		add_sub1.lpm_width = 9,
+		add_sub1.lpm_type = "lpm_add_sub";
+	lpm_add_sub   add_sub2
+	( 
+	.aclr(aclr),
+	.clken(clk_en),
+	.clock(clock),
+	.cout(),
+	.dataa(aligned_datab_exp_w),
+	.datab(aligned_dataa_exp_w),
+	.overflow(),
+	.result(wire_add_sub2_result)
+	`ifndef FORMAL_VERIFICATION
+	// synopsys translate_off
+	`endif
+	,
+	.add_sub(1'b1),
+	.cin()
+	`ifndef FORMAL_VERIFICATION
+	// synopsys translate_on
+	`endif
+	);
+	defparam
+		add_sub2.lpm_direction = "SUB",
+		add_sub2.lpm_pipeline = 1,
+		add_sub2.lpm_representation = "SIGNED",
+		add_sub2.lpm_width = 9,
+		add_sub2.lpm_type = "lpm_add_sub";
+	lpm_add_sub   add_sub3
+	( 
+	.cout(),
+	.dataa(sticky_bit_cnt_dataa_w),
+	.datab(sticky_bit_cnt_datab_w),
+	.overflow(),
+	.result(wire_add_sub3_result)
+	`ifndef FORMAL_VERIFICATION
+	// synopsys translate_off
+	`endif
+	,
+	.aclr(1'b0),
+	.add_sub(1'b1),
+	.cin(),
+	.clken(1'b1),
+	.clock(1'b0)
+	`ifndef FORMAL_VERIFICATION
+	// synopsys translate_on
+	`endif
+	);
+	defparam
+		add_sub3.lpm_direction = "SUB",
+		add_sub3.lpm_representation = "SIGNED",
+		add_sub3.lpm_width = 6,
+		add_sub3.lpm_type = "lpm_add_sub";
+	lpm_add_sub   add_sub4
+	( 
+	.cout(),
+	.dataa(exp_adjustment_add_sub_dataa_w),
+	.datab(exp_adjustment_add_sub_datab_w),
+	.overflow(),
+	.result(wire_add_sub4_result)
+	`ifndef FORMAL_VERIFICATION
+	// synopsys translate_off
+	`endif
+	,
+	.aclr(1'b0),
+	.add_sub(1'b1),
+	.cin(),
+	.clken(1'b1),
+	.clock(1'b0)
+	`ifndef FORMAL_VERIFICATION
+	// synopsys translate_on
+	`endif
+	);
+	defparam
+		add_sub4.lpm_direction = "ADD",
+		add_sub4.lpm_representation = "SIGNED",
+		add_sub4.lpm_width = 9,
+		add_sub4.lpm_type = "lpm_add_sub";
+	lpm_add_sub   add_sub5
+	( 
+	.aclr(aclr),
+	.clken(clk_en),
+	.clock(clock),
+	.cout(),
+	.dataa(exp_adjustment2_add_sub_dataa_w),
+	.datab(exp_adjustment2_add_sub_datab_w),
+	.overflow(),
+	.result(wire_add_sub5_result)
+	`ifndef FORMAL_VERIFICATION
+	// synopsys translate_off
+	`endif
+	,
+	.add_sub(1'b1),
+	.cin()
+	`ifndef FORMAL_VERIFICATION
+	// synopsys translate_on
+	`endif
+	);
+	defparam
+		add_sub5.lpm_direction = "ADD",
+		add_sub5.lpm_pipeline = 1,
+		add_sub5.lpm_representation = "SIGNED",
+		add_sub5.lpm_width = 9,
+		add_sub5.lpm_type = "lpm_add_sub";
+	lpm_add_sub   add_sub6
+	( 
+	.cout(),
+	.dataa(exp_res_rounding_adder_dataa_w),
+	.datab(exp_rounding_adjustment_w),
+	.overflow(),
+	.result(wire_add_sub6_result)
+	`ifndef FORMAL_VERIFICATION
+	// synopsys translate_off
+	`endif
+	,
+	.aclr(1'b0),
+	.add_sub(1'b1),
+	.cin(),
+	.clken(1'b1),
+	.clock(1'b0)
+	`ifndef FORMAL_VERIFICATION
+	// synopsys translate_on
+	`endif
+	);
+	defparam
+		add_sub6.lpm_direction = "ADD",
+		add_sub6.lpm_representation = "SIGNED",
+		add_sub6.lpm_width = 9,
+		add_sub6.lpm_type = "lpm_add_sub";
+	lpm_add_sub   man_2comp_res_lower
+	( 
+	.aclr(aclr),
+	.add_sub(add_sub_w2),
+	.cin(borrow_w),
+	.clken(clk_en),
+	.clock(clock),
+	.cout(wire_man_2comp_res_lower_cout),
+	.dataa(man_2comp_res_dataa_w[13:0]),
+	.datab(man_2comp_res_datab_w[13:0]),
+	.overflow(),
+	.result(wire_man_2comp_res_lower_result));
+	defparam
+		man_2comp_res_lower.lpm_pipeline = 1,
+		man_2comp_res_lower.lpm_representation = "SIGNED",
+		man_2comp_res_lower.lpm_width = 14,
+		man_2comp_res_lower.lpm_type = "lpm_add_sub";
+	lpm_add_sub   man_2comp_res_upper0
+	( 
+	.aclr(aclr),
+	.add_sub(add_sub_w2),
+	.cin(1'b0),
+	.clken(clk_en),
+	.clock(clock),
+	.cout(),
+	.dataa(man_2comp_res_dataa_w[27:14]),
+	.datab(man_2comp_res_datab_w[27:14]),
+	.overflow(),
+	.result(wire_man_2comp_res_upper0_result));
+	defparam
+		man_2comp_res_upper0.lpm_pipeline = 1,
+		man_2comp_res_upper0.lpm_representation = "SIGNED",
+		man_2comp_res_upper0.lpm_width = 14,
+		man_2comp_res_upper0.lpm_type = "lpm_add_sub";
+	lpm_add_sub   man_2comp_res_upper1
+	( 
+	.aclr(aclr),
+	.add_sub(add_sub_w2),
+	.cin(1'b1),
+	.clken(clk_en),
+	.clock(clock),
+	.cout(),
+	.dataa(man_2comp_res_dataa_w[27:14]),
+	.datab(man_2comp_res_datab_w[27:14]),
+	.overflow(),
+	.result(wire_man_2comp_res_upper1_result));
+	defparam
+		man_2comp_res_upper1.lpm_pipeline = 1,
+		man_2comp_res_upper1.lpm_representation = "SIGNED",
+		man_2comp_res_upper1.lpm_width = 14,
+		man_2comp_res_upper1.lpm_type = "lpm_add_sub";
+	lpm_add_sub   man_add_sub_lower
+	( 
+	.aclr(aclr),
+	.add_sub(add_sub_w2),
+	.cin(borrow_w),
+	.clken(clk_en),
+	.clock(clock),
+	.cout(wire_man_add_sub_lower_cout),
+	.dataa(man_add_sub_dataa_w[13:0]),
+	.datab(man_add_sub_datab_w[13:0]),
+	.overflow(),
+	.result(wire_man_add_sub_lower_result));
+	defparam
+		man_add_sub_lower.lpm_pipeline = 1,
+		man_add_sub_lower.lpm_representation = "SIGNED",
+		man_add_sub_lower.lpm_width = 14,
+		man_add_sub_lower.lpm_type = "lpm_add_sub";
+	lpm_add_sub   man_add_sub_upper0
+	( 
+	.aclr(aclr),
+	.add_sub(add_sub_w2),
+	.cin(1'b0),
+	.clken(clk_en),
+	.clock(clock),
+	.cout(),
+	.dataa(man_add_sub_dataa_w[27:14]),
+	.datab(man_add_sub_datab_w[27:14]),
+	.overflow(),
+	.result(wire_man_add_sub_upper0_result));
+	defparam
+		man_add_sub_upper0.lpm_pipeline = 1,
+		man_add_sub_upper0.lpm_representation = "SIGNED",
+		man_add_sub_upper0.lpm_width = 14,
+		man_add_sub_upper0.lpm_type = "lpm_add_sub";
+	lpm_add_sub   man_add_sub_upper1
+	( 
+	.aclr(aclr),
+	.add_sub(add_sub_w2),
+	.cin(1'b1),
+	.clken(clk_en),
+	.clock(clock),
+	.cout(),
+	.dataa(man_add_sub_dataa_w[27:14]),
+	.datab(man_add_sub_datab_w[27:14]),
+	.overflow(),
+	.result(wire_man_add_sub_upper1_result));
+	defparam
+		man_add_sub_upper1.lpm_pipeline = 1,
+		man_add_sub_upper1.lpm_representation = "SIGNED",
+		man_add_sub_upper1.lpm_width = 14,
+		man_add_sub_upper1.lpm_type = "lpm_add_sub";
+	lpm_add_sub   man_res_rounding_add_sub_lower
+	( 
+	.cout(wire_man_res_rounding_add_sub_lower_cout),
+	.dataa(man_intermediate_res_w[12:0]),
+	.datab(man_res_rounding_add_sub_datab_w[12:0]),
+	.overflow(),
+	.result(wire_man_res_rounding_add_sub_lower_result)
+	`ifndef FORMAL_VERIFICATION
+	// synopsys translate_off
+	`endif
+	,
+	.aclr(1'b0),
+	.add_sub(1'b1),
+	.cin(),
+	.clken(1'b1),
+	.clock(1'b0)
+	`ifndef FORMAL_VERIFICATION
+	// synopsys translate_on
+	`endif
+	);
+	defparam
+		man_res_rounding_add_sub_lower.lpm_direction = "ADD",
+		man_res_rounding_add_sub_lower.lpm_representation = "SIGNED",
+		man_res_rounding_add_sub_lower.lpm_width = 13,
+		man_res_rounding_add_sub_lower.lpm_type = "lpm_add_sub";
+	lpm_add_sub   man_res_rounding_add_sub_upper1
+	( 
+	.cin(1'b1),
+	.cout(),
+	.dataa(man_intermediate_res_w[25:13]),
+	.datab(man_res_rounding_add_sub_datab_w[25:13]),
+	.overflow(),
+	.result(wire_man_res_rounding_add_sub_upper1_result)
+	`ifndef FORMAL_VERIFICATION
+	// synopsys translate_off
+	`endif
+	,
+	.aclr(1'b0),
+	.add_sub(1'b1),
+	.clken(1'b1),
+	.clock(1'b0)
+	`ifndef FORMAL_VERIFICATION
+	// synopsys translate_on
+	`endif
+	);
+	defparam
+		man_res_rounding_add_sub_upper1.lpm_direction = "ADD",
+		man_res_rounding_add_sub_upper1.lpm_representation = "SIGNED",
+		man_res_rounding_add_sub_upper1.lpm_width = 13,
+		man_res_rounding_add_sub_upper1.lpm_type = "lpm_add_sub";
+	lpm_compare   trailing_zeros_limit_comparator
+	( 
+	.aeb(),
+	.agb(wire_trailing_zeros_limit_comparator_agb),
+	.ageb(),
+	.alb(),
+	.aleb(),
+	.aneb(),
+	.dataa(sticky_bit_cnt_res_w),
+	.datab(trailing_zeros_limit_w)
+	`ifndef FORMAL_VERIFICATION
+	// synopsys translate_off
+	`endif
+	,
+	.aclr(1'b0),
+	.clken(1'b1),
+	.clock(1'b0)
+	`ifndef FORMAL_VERIFICATION
+	// synopsys translate_on
+	`endif
+	);
+	defparam
+		trailing_zeros_limit_comparator.lpm_representation = "SIGNED",
+		trailing_zeros_limit_comparator.lpm_width = 6,
+		trailing_zeros_limit_comparator.lpm_type = "lpm_compare";
+	assign
+		add_sub_dffe11_wi = add_sub,
+		add_sub_dffe11_wo = add_sub_dffe11_wi,
+		add_sub_dffe12_wi = add_sub_dffe11_wo,
+		add_sub_dffe12_wo = add_sub_dffe12,
+		add_sub_dffe13_wi = add_sub_dffe12_wo,
+		add_sub_dffe13_wo = add_sub_dffe13_wi,
+		add_sub_dffe14_wi = add_sub_dffe13_wo,
+		add_sub_dffe14_wo = add_sub_dffe14_wi,
+		add_sub_dffe15_wi = add_sub_dffe14_wo,
+		add_sub_dffe15_wo = add_sub_dffe15_wi,
+		add_sub_dffe1_wi = add_sub_dffe15_wo,
+		add_sub_dffe1_wo = add_sub_dffe1,
+		add_sub_dffe25_wi = add_sub_w2,
+		add_sub_dffe25_wo = add_sub_dffe25_wi,
+		add_sub_w2 = (((((dataa_sign_dffe1_wo & (~ datab_sign_dffe1_wo)) & (~ add_sub_dffe1_wo)) | (((~ dataa_sign_dffe1_wo) & (~ datab_sign_dffe1_wo)) & add_sub_dffe1_wo)) | (((~ dataa_sign_dffe1_wo) & datab_sign_dffe1_wo) & (~ add_sub_dffe1_wo))) | ((dataa_sign_dffe1_wo & datab_sign_dffe1_wo) & add_sub_dffe1_wo)),
+		adder_upper_w = man_intermediate_res_w[25:13],
+		aligned_dataa_exp_dffe12_wi = aligned_dataa_exp_w,
+		aligned_dataa_exp_dffe12_wo = aligned_dataa_exp_dffe12,
+		aligned_dataa_exp_dffe13_wi = aligned_dataa_exp_dffe12_wo,
+		aligned_dataa_exp_dffe13_wo = aligned_dataa_exp_dffe13_wi,
+		aligned_dataa_exp_dffe14_wi = aligned_dataa_exp_dffe13_wo,
+		aligned_dataa_exp_dffe14_wo = aligned_dataa_exp_dffe14_wi,
+		aligned_dataa_exp_dffe15_wi = aligned_dataa_exp_dffe14_wo,
+		aligned_dataa_exp_dffe15_wo = aligned_dataa_exp_dffe15_wi,
+		aligned_dataa_exp_w = {1'b0, ({8{(~ input_dataa_denormal_dffe11_wo)}} & dataa_dffe11_wo[30:23])},
+		aligned_dataa_man_dffe12_wi = aligned_dataa_man_w[25:2],
+		aligned_dataa_man_dffe12_wo = aligned_dataa_man_dffe12,
+		aligned_dataa_man_dffe13_wi = aligned_dataa_man_dffe12_wo,
+		aligned_dataa_man_dffe13_wo = aligned_dataa_man_dffe13_wi,
+		aligned_dataa_man_dffe14_wi = aligned_dataa_man_dffe13_wo,
+		aligned_dataa_man_dffe14_wo = aligned_dataa_man_dffe14_wi,
+		aligned_dataa_man_dffe15_w = {aligned_dataa_man_dffe15_wo, {2{1'b0}}},
+		aligned_dataa_man_dffe15_wi = aligned_dataa_man_dffe14_wo,
+		aligned_dataa_man_dffe15_wo = aligned_dataa_man_dffe15_wi,
+		aligned_dataa_man_w = {(((~ input_dataa_infinite_dffe11_wo) & (~ input_dataa_denormal_dffe11_wo)) & (~ input_dataa_zero_dffe11_wo)), ({23{(~ input_dataa_denormal_dffe11_wo)}} & dataa_dffe11_wo[22:0]), {2{1'b0}}},
+		aligned_dataa_sign_dffe12_wi = aligned_dataa_sign_w,
+		aligned_dataa_sign_dffe12_wo = aligned_dataa_sign_dffe12,
+		aligned_dataa_sign_dffe13_wi = aligned_dataa_sign_dffe12_wo,
+		aligned_dataa_sign_dffe13_wo = aligned_dataa_sign_dffe13_wi,
+		aligned_dataa_sign_dffe14_wi = aligned_dataa_sign_dffe13_wo,
+		aligned_dataa_sign_dffe14_wo = aligned_dataa_sign_dffe14_wi,
+		aligned_dataa_sign_dffe15_wi = aligned_dataa_sign_dffe14_wo,
+		aligned_dataa_sign_dffe15_wo = aligned_dataa_sign_dffe15_wi,
+		aligned_dataa_sign_w = dataa_dffe11_wo[31],
+		aligned_datab_exp_dffe12_wi = aligned_datab_exp_w,
+		aligned_datab_exp_dffe12_wo = aligned_datab_exp_dffe12,
+		aligned_datab_exp_dffe13_wi = aligned_datab_exp_dffe12_wo,
+		aligned_datab_exp_dffe13_wo = aligned_datab_exp_dffe13_wi,
+		aligned_datab_exp_dffe14_wi = aligned_datab_exp_dffe13_wo,
+		aligned_datab_exp_dffe14_wo = aligned_datab_exp_dffe14_wi,
+		aligned_datab_exp_dffe15_wi = aligned_datab_exp_dffe14_wo,
+		aligned_datab_exp_dffe15_wo = aligned_datab_exp_dffe15_wi,
+		aligned_datab_exp_w = {1'b0, ({8{(~ input_datab_denormal_dffe11_wo)}} & datab_dffe11_wo[30:23])},
+		aligned_datab_man_dffe12_wi = aligned_datab_man_w[25:2],
+		aligned_datab_man_dffe12_wo = aligned_datab_man_dffe12,
+		aligned_datab_man_dffe13_wi = aligned_datab_man_dffe12_wo,
+		aligned_datab_man_dffe13_wo = aligned_datab_man_dffe13_wi,
+		aligned_datab_man_dffe14_wi = aligned_datab_man_dffe13_wo,
+		aligned_datab_man_dffe14_wo = aligned_datab_man_dffe14_wi,
+		aligned_datab_man_dffe15_w = {aligned_datab_man_dffe15_wo, {2{1'b0}}},
+		aligned_datab_man_dffe15_wi = aligned_datab_man_dffe14_wo,
+		aligned_datab_man_dffe15_wo = aligned_datab_man_dffe15_wi,
+		aligned_datab_man_w = {(((~ input_datab_infinite_dffe11_wo) & (~ input_datab_denormal_dffe11_wo)) & (~ input_datab_zero_dffe11_wo)), ({23{(~ input_datab_denormal_dffe11_wo)}} & datab_dffe11_wo[22:0]), {2{1'b0}}},
+		aligned_datab_sign_dffe12_wi = aligned_datab_sign_w,
+		aligned_datab_sign_dffe12_wo = aligned_datab_sign_dffe12,
+		aligned_datab_sign_dffe13_wi = aligned_datab_sign_dffe12_wo,
+		aligned_datab_sign_dffe13_wo = aligned_datab_sign_dffe13_wi,
+		aligned_datab_sign_dffe14_wi = aligned_datab_sign_dffe13_wo,
+		aligned_datab_sign_dffe14_wo = aligned_datab_sign_dffe14_wi,
+		aligned_datab_sign_dffe15_wi = aligned_datab_sign_dffe14_wo,
+		aligned_datab_sign_dffe15_wo = aligned_datab_sign_dffe15_wi,
+		aligned_datab_sign_w = datab_dffe11_wo[31],
+		borrow_w = ((~ sticky_bit_dffe1_wo) & (~ add_sub_w2)),
+		both_inputs_are_infinite_dffe1_wi = (input_dataa_infinite_dffe15_wo & input_datab_infinite_dffe15_wo),
+		both_inputs_are_infinite_dffe1_wo = both_inputs_are_infinite_dffe1,
+		both_inputs_are_infinite_dffe25_wi = both_inputs_are_infinite_dffe1_wo,
+		both_inputs_are_infinite_dffe25_wo = both_inputs_are_infinite_dffe25_wi,
+		data_exp_dffe1_wi = (({8{(~ exp_amb_mux_dffe15_wo)}} & aligned_dataa_exp_dffe15_wo[7:0]) | ({8{exp_amb_mux_dffe15_wo}} & aligned_datab_exp_dffe15_wo[7:0])),
+		data_exp_dffe1_wo = data_exp_dffe1,
+		dataa_dffe11_wi = dataa,
+		dataa_dffe11_wo = dataa_dffe11_wi,
+		dataa_man_dffe1_wi = (({26{(~ exp_amb_mux_dffe15_wo)}} & aligned_dataa_man_dffe15_w) | ({26{exp_amb_mux_dffe15_wo}} & wire_rbarrel_shift_result)),
+		dataa_man_dffe1_wo = dataa_man_dffe1,
+		dataa_sign_dffe1_wi = aligned_dataa_sign_dffe15_wo,
+		dataa_sign_dffe1_wo = dataa_sign_dffe1,
+		dataa_sign_dffe25_wi = dataa_sign_dffe1_wo,
+		dataa_sign_dffe25_wo = dataa_sign_dffe25_wi,
+		datab_dffe11_wi = datab,
+		datab_dffe11_wo = datab_dffe11_wi,
+		datab_man_dffe1_wi = (({26{(~ exp_amb_mux_dffe15_wo)}} & wire_rbarrel_shift_result) | ({26{exp_amb_mux_dffe15_wo}} & aligned_datab_man_dffe15_w)),
+		datab_man_dffe1_wo = datab_man_dffe1,
+		datab_sign_dffe1_wi = aligned_datab_sign_dffe15_wo,
+		datab_sign_dffe1_wo = datab_sign_dffe1,
+		denormal_flag_w = ((((~ force_nan_w) & (~ force_infinity_w)) & (~ force_zero_w)) & denormal_res_dffe4_wo),
+		denormal_res_dffe32_wi = denormal_result_w,
+		denormal_res_dffe32_wo = denormal_res_dffe32_wi,
+		denormal_res_dffe33_wi = denormal_res_dffe32_wo,
+		denormal_res_dffe33_wo = denormal_res_dffe33_wi,
+		denormal_res_dffe3_wi = denormal_res_dffe33_wo,
+		denormal_res_dffe3_wo = denormal_res_dffe3,
+		denormal_res_dffe41_wi = denormal_res_dffe42_wo,
+		denormal_res_dffe41_wo = denormal_res_dffe41_wi,
+		denormal_res_dffe42_wi = denormal_res_dffe3_wo,
+		denormal_res_dffe42_wo = denormal_res_dffe42_wi,
+		denormal_res_dffe4_wi = denormal_res_dffe41_wo,
+		denormal_res_dffe4_wo = denormal_res_dffe4,
+		denormal_result_w = ((~ exp_res_not_zero_w[8]) | exp_adjustment2_add_sub_w[8]),
+		exp_a_all_one_w = {(dataa[30] & exp_a_all_one_w[6]), (dataa[29] & exp_a_all_one_w[5]), (dataa[28] & exp_a_all_one_w[4]), (dataa[27] & exp_a_all_one_w[3]), (dataa[26] & exp_a_all_one_w[2]), (dataa[25] & exp_a_all_one_w[1]), (dataa[24] & exp_a_all_one_w[0]), dataa[23]},
+		exp_a_not_zero_w = {(dataa[30] | exp_a_not_zero_w[6]), (dataa[29] | exp_a_not_zero_w[5]), (dataa[28] | exp_a_not_zero_w[4]), (dataa[27] | exp_a_not_zero_w[3]), (dataa[26] | exp_a_not_zero_w[2]), (dataa[25] | exp_a_not_zero_w[1]), (dataa[24] | exp_a_not_zero_w[0]), dataa[23]},
+		exp_adj_0pads = {7{1'b0}},
+		exp_adj_dffe21_wi = (({2{man_add_sub_res_mag_dffe27_wo[26]}} & exp_adjust_by_add2) | ({2{(~ man_add_sub_res_mag_dffe27_wo[26])}} & exp_adjust_by_add1)),
+		exp_adj_dffe21_wo = exp_adj_dffe21,
+		exp_adj_dffe23_wi = exp_adj_dffe21_wo,
+		exp_adj_dffe23_wo = exp_adj_dffe23_wi,
+		exp_adj_dffe26_wi = exp_adj_dffe23_wo,
+		exp_adj_dffe26_wo = exp_adj_dffe26_wi,
+		exp_adjust_by_add1 = 2'b01,
+		exp_adjust_by_add2 = 2'b10,
+		exp_adjustment2_add_sub_dataa_w = exp_value,
+		exp_adjustment2_add_sub_datab_w = exp_adjustment_add_sub_w,
+		exp_adjustment2_add_sub_w = wire_add_sub5_result,
+		exp_adjustment_add_sub_dataa_w = {priority_encoder_1pads_w, wire_leading_zeroes_cnt_q},
+		exp_adjustment_add_sub_datab_w = {exp_adj_0pads, exp_adj_dffe26_wo},
+		exp_adjustment_add_sub_w = wire_add_sub4_result,
+		exp_all_ones_w = {8{1'b1}},
+		exp_all_zeros_w = {8{1'b0}},
+		exp_amb_mux_dffe13_wi = exp_amb_mux_w,
+		exp_amb_mux_dffe13_wo = exp_amb_mux_dffe13_wi,
+		exp_amb_mux_dffe14_wi = exp_amb_mux_dffe13_wo,
+		exp_amb_mux_dffe14_wo = exp_amb_mux_dffe14_wi,
+		exp_amb_mux_dffe15_wi = exp_amb_mux_dffe14_wo,
+		exp_amb_mux_dffe15_wo = exp_amb_mux_dffe15_wi,
+		exp_amb_mux_w = exp_amb_w[8],
+		exp_amb_w = wire_add_sub1_result,
+		exp_b_all_one_w = {(datab[30] & exp_b_all_one_w[6]), (datab[29] & exp_b_all_one_w[5]), (datab[28] & exp_b_all_one_w[4]), (datab[27] & exp_b_all_one_w[3]), (datab[26] & exp_b_all_one_w[2]), (datab[25] & exp_b_all_one_w[1]), (datab[24] & exp_b_all_one_w[0]), datab[23]},
+		exp_b_not_zero_w = {(datab[30] | exp_b_not_zero_w[6]), (datab[29] | exp_b_not_zero_w[5]), (datab[28] | exp_b_not_zero_w[4]), (datab[27] | exp_b_not_zero_w[3]), (datab[26] | exp_b_not_zero_w[2]), (datab[25] | exp_b_not_zero_w[1]), (datab[24] | exp_b_not_zero_w[0]), datab[23]},
+		exp_bma_w = wire_add_sub2_result,
+		exp_diff_abs_exceed_max_w = {(exp_diff_abs_exceed_max_w[1] | exp_diff_abs_w[7]), (exp_diff_abs_exceed_max_w[0] | exp_diff_abs_w[6]), exp_diff_abs_w[5]},
+		exp_diff_abs_max_w = {5{1'b1}},
+		exp_diff_abs_w = (({8{(~ exp_amb_mux_w)}} & exp_amb_w[7:0]) | ({8{exp_amb_mux_w}} & exp_bma_w[7:0])),
+		exp_intermediate_res_dffe41_wi = exp_intermediate_res_dffe42_wo,
+		exp_intermediate_res_dffe41_wo = exp_intermediate_res_dffe41_wi,
+		exp_intermediate_res_dffe42_wi = exp_intermediate_res_w,
+		exp_intermediate_res_dffe42_wo = exp_intermediate_res_dffe42_wi,
+		exp_intermediate_res_w = exp_res_dffe3_wo,
+		exp_out_dffe5_wi = (({8{force_nan_w}} & exp_all_ones_w) | ({8{(~ force_nan_w)}} & (({8{force_infinity_w}} & exp_all_ones_w) | ({8{(~ force_infinity_w)}} & (({8{(force_zero_w | denormal_flag_w)}} & exp_all_zeros_w) | ({8{(~ (force_zero_w | denormal_flag_w))}} & exp_res_dffe4_wo)))))),
+		exp_out_dffe5_wo = exp_out_dffe5,
+		exp_res_dffe21_wi = exp_res_dffe27_wo,
+		exp_res_dffe21_wo = exp_res_dffe21,
+		exp_res_dffe22_wi = exp_res_dffe2_wo,
+		exp_res_dffe22_wo = exp_res_dffe22_wi,
+		exp_res_dffe23_wi = exp_res_dffe21_wo,
+		exp_res_dffe23_wo = exp_res_dffe23_wi,
+		exp_res_dffe25_wi = data_exp_dffe1_wo,
+		exp_res_dffe25_wo = exp_res_dffe25_wi,
+		exp_res_dffe26_wi = exp_res_dffe23_wo,
+		exp_res_dffe26_wo = exp_res_dffe26_wi,
+		exp_res_dffe27_wi = exp_res_dffe22_wo,
+		exp_res_dffe27_wo = exp_res_dffe27_wi,
+		exp_res_dffe2_wi = exp_res_dffe25_wo,
+		exp_res_dffe2_wo = exp_res_dffe2,
+		exp_res_dffe32_wi = ({8{(~ denormal_result_w)}} & exp_adjustment2_add_sub_w[7:0]),
+		exp_res_dffe32_wo = exp_res_dffe32_wi,
+		exp_res_dffe33_wi = exp_res_dffe32_wo,
+		exp_res_dffe33_wo = exp_res_dffe33_wi,
+		exp_res_dffe3_wi = exp_res_dffe33_wo,
+		exp_res_dffe3_wo = exp_res_dffe3,
+		exp_res_dffe4_wi = exp_rounded_res_w,
+		exp_res_dffe4_wo = exp_res_dffe4,
+		exp_res_max_w = {(exp_res_max_w[6] & exp_adjustment2_add_sub_w[7]), (exp_res_max_w[5] & exp_adjustment2_add_sub_w[6]), (exp_res_max_w[4] & exp_adjustment2_add_sub_w[5]), (exp_res_max_w[3] & exp_adjustment2_add_sub_w[4]), (exp_res_max_w[2] & exp_adjustment2_add_sub_w[3]), (exp_res_max_w[1] & exp_adjustment2_add_sub_w[2]), (exp_res_max_w[0] & exp_adjustment2_add_sub_w[1]), exp_adjustment2_add_sub_w[0]},
+		exp_res_not_zero_w = {(exp_res_not_zero_w[7] | exp_adjustment2_add_sub_w[8]), (exp_res_not_zero_w[6] | exp_adjustment2_add_sub_w[7]), (exp_res_not_zero_w[5] | exp_adjustment2_add_sub_w[6]), (exp_res_not_zero_w[4] | exp_adjustment2_add_sub_w[5]), (exp_res_not_zero_w[3] | exp_adjustment2_add_sub_w[4]), (exp_res_not_zero_w[2] | exp_adjustment2_add_sub_w[3]), (exp_res_not_zero_w[1] | exp_adjustment2_add_sub_w[2]), (exp_res_not_zero_w[0] | exp_adjustment2_add_sub_w[1]), exp_adjustment2_add_sub_w[0]},
+		exp_res_rounding_adder_dataa_w = {1'b0, exp_intermediate_res_dffe41_wo},
+		exp_res_rounding_adder_w = wire_add_sub6_result,
+		exp_rounded_res_infinity_w = exp_rounded_res_max_w[7],
+		exp_rounded_res_max_w = {(exp_rounded_res_max_w[6] & exp_rounded_res_w[7]), (exp_rounded_res_max_w[5] & exp_rounded_res_w[6]), (exp_rounded_res_max_w[4] & exp_rounded_res_w[5]), (exp_rounded_res_max_w[3] & exp_rounded_res_w[4]), (exp_rounded_res_max_w[2] & exp_rounded_res_w[3]), (exp_rounded_res_max_w[1] & exp_rounded_res_w[2]), (exp_rounded_res_max_w[0] & exp_rounded_res_w[1]), exp_rounded_res_w[0]},
+		exp_rounded_res_w = exp_res_rounding_adder_w[7:0],
+		exp_rounding_adjustment_w = {{8{1'b0}}, man_res_rounding_add_sub_w[24]},
+		exp_value = {1'b0, exp_res_dffe26_wo},
+		force_infinity_w = ((input_is_infinite_dffe4_wo | rounded_res_infinity_dffe4_wo) | infinite_res_dffe4_wo),
+		force_nan_w = (infinity_magnitude_sub_dffe4_wo | input_is_nan_dffe4_wo),
+		force_zero_w = (~ man_res_is_not_zero_dffe4_wo),
+		guard_bit_dffe3_wo = man_res_w3[0],
+		infinite_output_sign_dffe1_wi = (((~ input_datab_infinite_dffe15_wo) & aligned_dataa_sign_dffe15_wo) | (input_datab_infinite_dffe15_wo & (~ (aligned_datab_sign_dffe15_wo ^ add_sub_dffe15_wo)))),
+		infinite_output_sign_dffe1_wo = infinite_output_sign_dffe1,
+		infinite_output_sign_dffe21_wi = infinite_output_sign_dffe27_wo,
+		infinite_output_sign_dffe21_wo = infinite_output_sign_dffe21,
+		infinite_output_sign_dffe22_wi = infinite_output_sign_dffe2_wo,
+		infinite_output_sign_dffe22_wo = infinite_output_sign_dffe22_wi,
+		infinite_output_sign_dffe23_wi = infinite_output_sign_dffe21_wo,
+		infinite_output_sign_dffe23_wo = infinite_output_sign_dffe23_wi,
+		infinite_output_sign_dffe25_wi = infinite_output_sign_dffe1_wo,
+		infinite_output_sign_dffe25_wo = infinite_output_sign_dffe25_wi,
+		infinite_output_sign_dffe26_wi = infinite_output_sign_dffe23_wo,
+		infinite_output_sign_dffe26_wo = infinite_output_sign_dffe26_wi,
+		infinite_output_sign_dffe27_wi = infinite_output_sign_dffe22_wo,
+		infinite_output_sign_dffe27_wo = infinite_output_sign_dffe27_wi,
+		infinite_output_sign_dffe2_wi = infinite_output_sign_dffe25_wo,
+		infinite_output_sign_dffe2_wo = infinite_output_sign_dffe2,
+		infinite_output_sign_dffe31_wi = infinite_output_sign_dffe26_wo,
+		infinite_output_sign_dffe31_wo = infinite_output_sign_dffe31,
+		infinite_output_sign_dffe32_wi = infinite_output_sign_dffe31_wo,
+		infinite_output_sign_dffe32_wo = infinite_output_sign_dffe32_wi,
+		infinite_output_sign_dffe33_wi = infinite_output_sign_dffe32_wo,
+		infinite_output_sign_dffe33_wo = infinite_output_sign_dffe33_wi,
+		infinite_output_sign_dffe3_wi = infinite_output_sign_dffe33_wo,
+		infinite_output_sign_dffe3_wo = infinite_output_sign_dffe3,
+		infinite_output_sign_dffe41_wi = infinite_output_sign_dffe42_wo,
+		infinite_output_sign_dffe41_wo = infinite_output_sign_dffe41_wi,
+		infinite_output_sign_dffe42_wi = infinite_output_sign_dffe3_wo,
+		infinite_output_sign_dffe42_wo = infinite_output_sign_dffe42_wi,
+		infinite_output_sign_dffe4_wi = infinite_output_sign_dffe41_wo,
+		infinite_output_sign_dffe4_wo = infinite_output_sign_dffe4,
+		infinite_res_dff32_wi = (exp_res_max_w[7] & (~ exp_adjustment2_add_sub_w[8])),
+		infinite_res_dff32_wo = infinite_res_dff32_wi,
+		infinite_res_dff33_wi = infinite_res_dff32_wo,
+		infinite_res_dff33_wo = infinite_res_dff33_wi,
+		infinite_res_dffe3_wi = infinite_res_dff33_wo,
+		infinite_res_dffe3_wo = infinite_res_dffe3,
+		infinite_res_dffe41_wi = infinite_res_dffe42_wo,
+		infinite_res_dffe41_wo = infinite_res_dffe41_wi,
+		infinite_res_dffe42_wi = infinite_res_dffe3_wo,
+		infinite_res_dffe42_wo = infinite_res_dffe42_wi,
+		infinite_res_dffe4_wi = infinite_res_dffe41_wo,
+		infinite_res_dffe4_wo = infinite_res_dffe4,
+		infinity_magnitude_sub_dffe21_wi = infinity_magnitude_sub_dffe27_wo,
+		infinity_magnitude_sub_dffe21_wo = infinity_magnitude_sub_dffe21,
+		infinity_magnitude_sub_dffe22_wi = infinity_magnitude_sub_dffe2_wo,
+		infinity_magnitude_sub_dffe22_wo = infinity_magnitude_sub_dffe22_wi,
+		infinity_magnitude_sub_dffe23_wi = infinity_magnitude_sub_dffe21_wo,
+		infinity_magnitude_sub_dffe23_wo = infinity_magnitude_sub_dffe23_wi,
+		infinity_magnitude_sub_dffe26_wi = infinity_magnitude_sub_dffe23_wo,
+		infinity_magnitude_sub_dffe26_wo = infinity_magnitude_sub_dffe26_wi,
+		infinity_magnitude_sub_dffe27_wi = infinity_magnitude_sub_dffe22_wo,
+		infinity_magnitude_sub_dffe27_wo = infinity_magnitude_sub_dffe27_wi,
+		infinity_magnitude_sub_dffe2_wi = ((~ add_sub_dffe25_wo) & both_inputs_are_infinite_dffe25_wo),
+		infinity_magnitude_sub_dffe2_wo = infinity_magnitude_sub_dffe2,
+		infinity_magnitude_sub_dffe31_wi = infinity_magnitude_sub_dffe26_wo,
+		infinity_magnitude_sub_dffe31_wo = infinity_magnitude_sub_dffe31,
+		infinity_magnitude_sub_dffe32_wi = infinity_magnitude_sub_dffe31_wo,
+		infinity_magnitude_sub_dffe32_wo = infinity_magnitude_sub_dffe32_wi,
+		infinity_magnitude_sub_dffe33_wi = infinity_magnitude_sub_dffe32_wo,
+		infinity_magnitude_sub_dffe33_wo = infinity_magnitude_sub_dffe33_wi,
+		infinity_magnitude_sub_dffe3_wi = infinity_magnitude_sub_dffe33_wo,
+		infinity_magnitude_sub_dffe3_wo = infinity_magnitude_sub_dffe3,
+		infinity_magnitude_sub_dffe41_wi = infinity_magnitude_sub_dffe42_wo,
+		infinity_magnitude_sub_dffe41_wo = infinity_magnitude_sub_dffe41_wi,
+		infinity_magnitude_sub_dffe42_wi = infinity_magnitude_sub_dffe3_wo,
+		infinity_magnitude_sub_dffe42_wo = infinity_magnitude_sub_dffe42_wi,
+		infinity_magnitude_sub_dffe4_wi = infinity_magnitude_sub_dffe41_wo,
+		infinity_magnitude_sub_dffe4_wo = infinity_magnitude_sub_dffe4,
+		input_dataa_denormal_dffe11_wi = input_dataa_denormal_w,
+		input_dataa_denormal_dffe11_wo = input_dataa_denormal_dffe11_wi,
+		input_dataa_denormal_w = ((~ exp_a_not_zero_w[7]) & man_a_not_zero_w[22]),
+		input_dataa_infinite_dffe11_wi = input_dataa_infinite_w,
+		input_dataa_infinite_dffe11_wo = input_dataa_infinite_dffe11_wi,
+		input_dataa_infinite_dffe12_wi = input_dataa_infinite_dffe11_wo,
+		input_dataa_infinite_dffe12_wo = input_dataa_infinite_dffe12,
+		input_dataa_infinite_dffe13_wi = input_dataa_infinite_dffe12_wo,
+		input_dataa_infinite_dffe13_wo = input_dataa_infinite_dffe13_wi,
+		input_dataa_infinite_dffe14_wi = input_dataa_infinite_dffe13_wo,
+		input_dataa_infinite_dffe14_wo = input_dataa_infinite_dffe14_wi,
+		input_dataa_infinite_dffe15_wi = input_dataa_infinite_dffe14_wo,
+		input_dataa_infinite_dffe15_wo = input_dataa_infinite_dffe15_wi,
+		input_dataa_infinite_w = (exp_a_all_one_w[7] & (~ man_a_not_zero_w[22])),
+		input_dataa_nan_dffe11_wi = input_dataa_nan_w,
+		input_dataa_nan_dffe11_wo = input_dataa_nan_dffe11_wi,
+		input_dataa_nan_dffe12_wi = input_dataa_nan_dffe11_wo,
+		input_dataa_nan_dffe12_wo = input_dataa_nan_dffe12,
+		input_dataa_nan_w = (exp_a_all_one_w[7] & man_a_not_zero_w[22]),
+		input_dataa_zero_dffe11_wi = input_dataa_zero_w,
+		input_dataa_zero_dffe11_wo = input_dataa_zero_dffe11_wi,
+		input_dataa_zero_w = ((~ exp_a_not_zero_w[7]) & (~ man_a_not_zero_w[22])),
+		input_datab_denormal_dffe11_wi = input_datab_denormal_w,
+		input_datab_denormal_dffe11_wo = input_datab_denormal_dffe11_wi,
+		input_datab_denormal_w = ((~ exp_b_not_zero_w[7]) & man_b_not_zero_w[22]),
+		input_datab_infinite_dffe11_wi = input_datab_infinite_w,
+		input_datab_infinite_dffe11_wo = input_datab_infinite_dffe11_wi,
+		input_datab_infinite_dffe12_wi = input_datab_infinite_dffe11_wo,
+		input_datab_infinite_dffe12_wo = input_datab_infinite_dffe12,
+		input_datab_infinite_dffe13_wi = input_datab_infinite_dffe12_wo,
+		input_datab_infinite_dffe13_wo = input_datab_infinite_dffe13_wi,
+		input_datab_infinite_dffe14_wi = input_datab_infinite_dffe13_wo,
+		input_datab_infinite_dffe14_wo = input_datab_infinite_dffe14_wi,
+		input_datab_infinite_dffe15_wi = input_datab_infinite_dffe14_wo,
+		input_datab_infinite_dffe15_wo = input_datab_infinite_dffe15_wi,
+		input_datab_infinite_w = (exp_b_all_one_w[7] & (~ man_b_not_zero_w[22])),
+		input_datab_nan_dffe11_wi = input_datab_nan_w,
+		input_datab_nan_dffe11_wo = input_datab_nan_dffe11_wi,
+		input_datab_nan_dffe12_wi = input_datab_nan_dffe11_wo,
+		input_datab_nan_dffe12_wo = input_datab_nan_dffe12,
+		input_datab_nan_w = (exp_b_all_one_w[7] & man_b_not_zero_w[22]),
+		input_datab_zero_dffe11_wi = input_datab_zero_w,
+		input_datab_zero_dffe11_wo = input_datab_zero_dffe11_wi,
+		input_datab_zero_w = ((~ exp_b_not_zero_w[7]) & (~ man_b_not_zero_w[22])),
+		input_is_infinite_dffe1_wi = (input_dataa_infinite_dffe15_wo | input_datab_infinite_dffe15_wo),
+		input_is_infinite_dffe1_wo = input_is_infinite_dffe1,
+		input_is_infinite_dffe21_wi = input_is_infinite_dffe27_wo,
+		input_is_infinite_dffe21_wo = input_is_infinite_dffe21,
+		input_is_infinite_dffe22_wi = input_is_infinite_dffe2_wo,
+		input_is_infinite_dffe22_wo = input_is_infinite_dffe22_wi,
+		input_is_infinite_dffe23_wi = input_is_infinite_dffe21_wo,
+		input_is_infinite_dffe23_wo = input_is_infinite_dffe23_wi,
+		input_is_infinite_dffe25_wi = input_is_infinite_dffe1_wo,
+		input_is_infinite_dffe25_wo = input_is_infinite_dffe25_wi,
+		input_is_infinite_dffe26_wi = input_is_infinite_dffe23_wo,
+		input_is_infinite_dffe26_wo = input_is_infinite_dffe26_wi,
+		input_is_infinite_dffe27_wi = input_is_infinite_dffe22_wo,
+		input_is_infinite_dffe27_wo = input_is_infinite_dffe27_wi,
+		input_is_infinite_dffe2_wi = input_is_infinite_dffe25_wo,
+		input_is_infinite_dffe2_wo = input_is_infinite_dffe2,
+		input_is_infinite_dffe31_wi = input_is_infinite_dffe26_wo,
+		input_is_infinite_dffe31_wo = input_is_infinite_dffe31,
+		input_is_infinite_dffe32_wi = input_is_infinite_dffe31_wo,
+		input_is_infinite_dffe32_wo = input_is_infinite_dffe32_wi,
+		input_is_infinite_dffe33_wi = input_is_infinite_dffe32_wo,
+		input_is_infinite_dffe33_wo = input_is_infinite_dffe33_wi,
+		input_is_infinite_dffe3_wi = input_is_infinite_dffe33_wo,
+		input_is_infinite_dffe3_wo = input_is_infinite_dffe3,
+		input_is_infinite_dffe41_wi = input_is_infinite_dffe42_wo,
+		input_is_infinite_dffe41_wo = input_is_infinite_dffe41_wi,
+		input_is_infinite_dffe42_wi = input_is_infinite_dffe3_wo,
+		input_is_infinite_dffe42_wo = input_is_infinite_dffe42_wi,
+		input_is_infinite_dffe4_wi = input_is_infinite_dffe41_wo,
+		input_is_infinite_dffe4_wo = input_is_infinite_dffe4,
+		input_is_nan_dffe13_wi = (input_dataa_nan_dffe12_wo | input_datab_nan_dffe12_wo),
+		input_is_nan_dffe13_wo = input_is_nan_dffe13_wi,
+		input_is_nan_dffe14_wi = input_is_nan_dffe13_wo,
+		input_is_nan_dffe14_wo = input_is_nan_dffe14_wi,
+		input_is_nan_dffe15_wi = input_is_nan_dffe14_wo,
+		input_is_nan_dffe15_wo = input_is_nan_dffe15_wi,
+		input_is_nan_dffe1_wi = input_is_nan_dffe15_wo,
+		input_is_nan_dffe1_wo = input_is_nan_dffe1,
+		input_is_nan_dffe21_wi = input_is_nan_dffe27_wo,
+		input_is_nan_dffe21_wo = input_is_nan_dffe21,
+		input_is_nan_dffe22_wi = input_is_nan_dffe2_wo,
+		input_is_nan_dffe22_wo = input_is_nan_dffe22_wi,
+		input_is_nan_dffe23_wi = input_is_nan_dffe21_wo,
+		input_is_nan_dffe23_wo = input_is_nan_dffe23_wi,
+		input_is_nan_dffe25_wi = input_is_nan_dffe1_wo,
+		input_is_nan_dffe25_wo = input_is_nan_dffe25_wi,
+		input_is_nan_dffe26_wi = input_is_nan_dffe23_wo,
+		input_is_nan_dffe26_wo = input_is_nan_dffe26_wi,
+		input_is_nan_dffe27_wi = input_is_nan_dffe22_wo,
+		input_is_nan_dffe27_wo = input_is_nan_dffe27_wi,
+		input_is_nan_dffe2_wi = input_is_nan_dffe25_wo,
+		input_is_nan_dffe2_wo = input_is_nan_dffe2,
+		input_is_nan_dffe31_wi = input_is_nan_dffe26_wo,
+		input_is_nan_dffe31_wo = input_is_nan_dffe31,
+		input_is_nan_dffe32_wi = input_is_nan_dffe31_wo,
+		input_is_nan_dffe32_wo = input_is_nan_dffe32_wi,
+		input_is_nan_dffe33_wi = input_is_nan_dffe32_wo,
+		input_is_nan_dffe33_wo = input_is_nan_dffe33_wi,
+		input_is_nan_dffe3_wi = input_is_nan_dffe33_wo,
+		input_is_nan_dffe3_wo = input_is_nan_dffe3,
+		input_is_nan_dffe41_wi = input_is_nan_dffe42_wo,
+		input_is_nan_dffe41_wo = input_is_nan_dffe41_wi,
+		input_is_nan_dffe42_wi = input_is_nan_dffe3_wo,
+		input_is_nan_dffe42_wo = input_is_nan_dffe42_wi,
+		input_is_nan_dffe4_wi = input_is_nan_dffe41_wo,
+		input_is_nan_dffe4_wo = input_is_nan_dffe4,
+		man_2comp_res_dataa_w = {pos_sign_bit_ext, datab_man_dffe1_wo},
+		man_2comp_res_datab_w = {pos_sign_bit_ext, dataa_man_dffe1_wo},
+		man_2comp_res_w = {(({14{(~ wire_man_2comp_res_lower_cout)}} & wire_man_2comp_res_upper0_result) | ({14{wire_man_2comp_res_lower_cout}} & wire_man_2comp_res_upper1_result)), wire_man_2comp_res_lower_result},
+		man_a_not_zero_w = {(dataa[22] | man_a_not_zero_w[21]), (dataa[21] | man_a_not_zero_w[20]), (dataa[20] | man_a_not_zero_w[19]), (dataa[19] | man_a_not_zero_w[18]), (dataa[18] | man_a_not_zero_w[17]), (dataa[17] | man_a_not_zero_w[16]), (dataa[16] | man_a_not_zero_w[15]), (dataa[15] | man_a_not_zero_w[14]), (dataa[14] | man_a_not_zero_w[13]), (dataa[13] | man_a_not_zero_w[12]), (dataa[12] | man_a_not_zero_w[11]), (dataa[11] | man_a_not_zero_w[10]), (dataa[10] | man_a_not_zero_w[9]), (dataa[9] | man_a_not_zero_w[8]), (dataa[8] | man_a_not_zero_w[7]), (dataa[7] | man_a_not_zero_w[6]), (dataa[6] | man_a_not_zero_w[5]), (dataa[5] | man_a_not_zero_w[4]), (dataa[4] | man_a_not_zero_w[3]), (dataa[3] | man_a_not_zero_w[2]), (dataa[2] | man_a_not_zero_w[1]), (dataa[1] | man_a_not_zero_w[0]), dataa[0]},
+		man_add_sub_dataa_w = {pos_sign_bit_ext, dataa_man_dffe1_wo},
+		man_add_sub_datab_w = {pos_sign_bit_ext, datab_man_dffe1_wo},
+		man_add_sub_res_mag_dffe21_wi = man_res_mag_w2,
+		man_add_sub_res_mag_dffe21_wo = man_add_sub_res_mag_dffe21,
+		man_add_sub_res_mag_dffe23_wi = man_add_sub_res_mag_dffe21_wo,
+		man_add_sub_res_mag_dffe23_wo = man_add_sub_res_mag_dffe23_wi,
+		man_add_sub_res_mag_dffe26_wi = man_add_sub_res_mag_dffe23_wo,
+		man_add_sub_res_mag_dffe26_wo = man_add_sub_res_mag_dffe26_wi,
+		man_add_sub_res_mag_dffe27_wi = man_add_sub_res_mag_w2,
+		man_add_sub_res_mag_dffe27_wo = man_add_sub_res_mag_dffe27_wi,
+		man_add_sub_res_mag_w2 = (({28{man_add_sub_w[27]}} & man_2comp_res_w) | ({28{(~ man_add_sub_w[27])}} & man_add_sub_w)),
+		man_add_sub_res_sign_dffe21_wo = man_add_sub_res_sign_dffe21,
+		man_add_sub_res_sign_dffe23_wi = man_add_sub_res_sign_dffe21_wo,
+		man_add_sub_res_sign_dffe23_wo = man_add_sub_res_sign_dffe23_wi,
+		man_add_sub_res_sign_dffe26_wi = man_add_sub_res_sign_dffe23_wo,
+		man_add_sub_res_sign_dffe26_wo = man_add_sub_res_sign_dffe26_wi,
+		man_add_sub_res_sign_dffe27_wi = man_add_sub_res_sign_w2,
+		man_add_sub_res_sign_dffe27_wo = man_add_sub_res_sign_dffe27_wi,
+		man_add_sub_res_sign_w2 = ((need_complement_dffe22_wo & (~ man_add_sub_w[27])) | ((~ need_complement_dffe22_wo) & man_add_sub_w[27])),
+		man_add_sub_w = {(({14{(~ wire_man_add_sub_lower_cout)}} & wire_man_add_sub_upper0_result) | ({14{wire_man_add_sub_lower_cout}} & wire_man_add_sub_upper1_result)), wire_man_add_sub_lower_result},
+		man_all_zeros_w = {23{1'b0}},
+		man_b_not_zero_w = {(datab[22] | man_b_not_zero_w[21]), (datab[21] | man_b_not_zero_w[20]), (datab[20] | man_b_not_zero_w[19]), (datab[19] | man_b_not_zero_w[18]), (datab[18] | man_b_not_zero_w[17]), (datab[17] | man_b_not_zero_w[16]), (datab[16] | man_b_not_zero_w[15]), (datab[15] | man_b_not_zero_w[14]), (datab[14] | man_b_not_zero_w[13]), (datab[13] | man_b_not_zero_w[12]), (datab[12] | man_b_not_zero_w[11]), (datab[11] | man_b_not_zero_w[10]), (datab[10] | man_b_not_zero_w[9]), (datab[9] | man_b_not_zero_w[8]), (datab[8] | man_b_not_zero_w[7]), (datab[7] | man_b_not_zero_w[6]), (datab[6] | man_b_not_zero_w[5]), (datab[5] | man_b_not_zero_w[4]), (datab[4] | man_b_not_zero_w[3]), (datab[3] | man_b_not_zero_w[2]), (datab[2] | man_b_not_zero_w[1]), (datab[1] | man_b_not_zero_w[0]), datab[0]},
+		man_dffe31_wo = man_dffe31,
+		man_intermediate_res_w = {{2{1'b0}}, man_res_w3},
+		man_leading_zeros_cnt_w = man_leading_zeros_dffe31_wo,
+		man_leading_zeros_dffe31_wi = (~ wire_leading_zeroes_cnt_q),
+		man_leading_zeros_dffe31_wo = man_leading_zeros_dffe31,
+		man_nan_w = 23'b10000000000000000000000,
+		man_out_dffe5_wi = (({23{force_nan_w}} & man_nan_w) | ({23{(~ force_nan_w)}} & (({23{force_infinity_w}} & man_all_zeros_w) | ({23{(~ force_infinity_w)}} & (({23{(force_zero_w | denormal_flag_w)}} & man_all_zeros_w) | ({23{(~ (force_zero_w | denormal_flag_w))}} & man_res_dffe4_wo)))))),
+		man_out_dffe5_wo = man_out_dffe5,
+		man_res_dffe4_wi = man_rounded_res_w,
+		man_res_dffe4_wo = man_res_dffe4,
+		man_res_is_not_zero_dffe31_wi = man_res_not_zero_dffe26_wo,
+		man_res_is_not_zero_dffe31_wo = man_res_is_not_zero_dffe31,
+		man_res_is_not_zero_dffe32_wi = man_res_is_not_zero_dffe31_wo,
+		man_res_is_not_zero_dffe32_wo = man_res_is_not_zero_dffe32_wi,
+		man_res_is_not_zero_dffe33_wi = man_res_is_not_zero_dffe32_wo,
+		man_res_is_not_zero_dffe33_wo = man_res_is_not_zero_dffe33_wi,
+		man_res_is_not_zero_dffe3_wi = man_res_is_not_zero_dffe33_wo,
+		man_res_is_not_zero_dffe3_wo = man_res_is_not_zero_dffe3,
+		man_res_is_not_zero_dffe41_wi = man_res_is_not_zero_dffe42_wo,
+		man_res_is_not_zero_dffe41_wo = man_res_is_not_zero_dffe41_wi,
+		man_res_is_not_zero_dffe42_wi = man_res_is_not_zero_dffe3_wo,
+		man_res_is_not_zero_dffe42_wo = man_res_is_not_zero_dffe42_wi,
+		man_res_is_not_zero_dffe4_wi = man_res_is_not_zero_dffe41_wo,
+		man_res_is_not_zero_dffe4_wo = man_res_is_not_zero_dffe4,
+		man_res_mag_w2 = (({26{man_add_sub_res_mag_dffe27_wo[26]}} & man_add_sub_res_mag_dffe27_wo[26:1]) | ({26{(~ man_add_sub_res_mag_dffe27_wo[26])}} & man_add_sub_res_mag_dffe27_wo[25:0])),
+		man_res_not_zero_dffe23_wi = man_res_not_zero_w2[24],
+		man_res_not_zero_dffe23_wo = man_res_not_zero_dffe23_wi,
+		man_res_not_zero_dffe26_wi = man_res_not_zero_dffe23_wo,
+		man_res_not_zero_dffe26_wo = man_res_not_zero_dffe26_wi,
+		man_res_not_zero_w2 = {(man_res_not_zero_w2[23] | man_add_sub_res_mag_dffe21_wo[25]), (man_res_not_zero_w2[22] | man_add_sub_res_mag_dffe21_wo[24]), (man_res_not_zero_w2[21] | man_add_sub_res_mag_dffe21_wo[23]), (man_res_not_zero_w2[20] | man_add_sub_res_mag_dffe21_wo[22]), (man_res_not_zero_w2[19] | man_add_sub_res_mag_dffe21_wo[21]), (man_res_not_zero_w2[18] | man_add_sub_res_mag_dffe21_wo[20]), (man_res_not_zero_w2[17] | man_add_sub_res_mag_dffe21_wo[19]), (man_res_not_zero_w2[16] | man_add_sub_res_mag_dffe21_wo[18]), (man_res_not_zero_w2[15] | man_add_sub_res_mag_dffe21_wo[17]), (man_res_not_zero_w2[14] | man_add_sub_res_mag_dffe21_wo[16]), (man_res_not_zero_w2[13] | man_add_sub_res_mag_dffe21_wo[15]), (man_res_not_zero_w2[12] | man_add_sub_res_mag_dffe21_wo[14]), (man_res_not_zero_w2[11] | man_add_sub_res_mag_dffe21_wo[13]), (man_res_not_zero_w2[10] | man_add_sub_res_mag_dffe21_wo[12]), (man_res_not_zero_w2[9] | man_add_sub_res_mag_dffe21_wo[11]), (man_res_not_zero_w2[8] | man_add_sub_res_mag_dffe21_wo[10]), (man_res_not_zero_w2[7] | man_add_sub_res_mag_dffe21_wo[9]), (man_res_not_zero_w2[6] | man_add_sub_res_mag_dffe21_wo[8]), (man_res_not_zero_w2[5] | man_add_sub_res_mag_dffe21_wo[7]), (man_res_not_zero_w2[4] | man_add_sub_res_mag_dffe21_wo[6]), (man_res_not_zero_w2[3] | man_add_sub_res_mag_dffe21_wo[5]), (man_res_not_zero_w2[2] | man_add_sub_res_mag_dffe21_wo[4]), (man_res_not_zero_w2[1] | man_add_sub_res_mag_dffe21_wo[3]), (man_res_not_zero_w2[0] | man_add_sub_res_mag_dffe21_wo[2]), man_add_sub_res_mag_dffe21_wo[1]},
+		man_res_rounding_add_sub_datab_w = {{25{1'b0}}, man_rounding_add_value_w},
+		man_res_rounding_add_sub_w = {(({13{(~ wire_man_res_rounding_add_sub_lower_cout)}} & adder_upper_w) | ({13{wire_man_res_rounding_add_sub_lower_cout}} & wire_man_res_rounding_add_sub_upper1_result)), wire_man_res_rounding_add_sub_lower_result},
+		man_res_w3 = wire_lbarrel_shift_result[25:2],
+		man_rounded_res_w = (({23{man_res_rounding_add_sub_w[24]}} & man_res_rounding_add_sub_w[23:1]) | ({23{(~ man_res_rounding_add_sub_w[24])}} & man_res_rounding_add_sub_w[22:0])),
+		man_rounding_add_value_w = (round_bit_dffe3_wo & (sticky_bit_dffe3_wo | guard_bit_dffe3_wo)),
+		man_smaller_dffe13_wi = man_smaller_w,
+		man_smaller_dffe13_wo = man_smaller_dffe13_wi,
+		man_smaller_w = (({24{exp_amb_mux_w}} & aligned_dataa_man_dffe12_wo) | ({24{(~ exp_amb_mux_w)}} & aligned_datab_man_dffe12_wo)),
+		need_complement_dffe22_wi = need_complement_dffe2_wo,
+		need_complement_dffe22_wo = need_complement_dffe22_wi,
+		need_complement_dffe2_wi = dataa_sign_dffe25_wo,
+		need_complement_dffe2_wo = need_complement_dffe2,
+		pos_sign_bit_ext = {2{1'b0}},
+		priority_encoder_1pads_w = {4{1'b1}},
+		result = {sign_out_dffe5_wo, exp_out_dffe5_wo, man_out_dffe5_wo},
+		round_bit_dffe21_wi = round_bit_w,
+		round_bit_dffe21_wo = round_bit_dffe21,
+		round_bit_dffe23_wi = round_bit_dffe21_wo,
+		round_bit_dffe23_wo = round_bit_dffe23_wi,
+		round_bit_dffe26_wi = round_bit_dffe23_wo,
+		round_bit_dffe26_wo = round_bit_dffe26_wi,
+		round_bit_dffe31_wi = round_bit_dffe26_wo,
+		round_bit_dffe31_wo = round_bit_dffe31,
+		round_bit_dffe32_wi = round_bit_dffe31_wo,
+		round_bit_dffe32_wo = round_bit_dffe32_wi,
+		round_bit_dffe33_wi = round_bit_dffe32_wo,
+		round_bit_dffe33_wo = round_bit_dffe33_wi,
+		round_bit_dffe3_wi = round_bit_dffe33_wo,
+		round_bit_dffe3_wo = round_bit_dffe3,
+		round_bit_w = ((((((~ man_add_sub_res_mag_dffe27_wo[26]) & (~ man_add_sub_res_mag_dffe27_wo[25])) & man_add_sub_res_mag_dffe27_wo[0]) | (((~ man_add_sub_res_mag_dffe27_wo[26]) & man_add_sub_res_mag_dffe27_wo[25]) & man_add_sub_res_mag_dffe27_wo[1])) | ((man_add_sub_res_mag_dffe27_wo[26] & (~ man_add_sub_res_mag_dffe27_wo[25])) & man_add_sub_res_mag_dffe27_wo[2])) | ((man_add_sub_res_mag_dffe27_wo[26] & man_add_sub_res_mag_dffe27_wo[25]) & man_add_sub_res_mag_dffe27_wo[2])),
+		rounded_res_infinity_dffe4_wi = exp_rounded_res_infinity_w,
+		rounded_res_infinity_dffe4_wo = rounded_res_infinity_dffe4,
+		rshift_distance_dffe13_wi = rshift_distance_w,
+		rshift_distance_dffe13_wo = rshift_distance_dffe13_wi,
+		rshift_distance_dffe14_wi = rshift_distance_dffe13_wo,
+		rshift_distance_dffe14_wo = rshift_distance_dffe14_wi,
+		rshift_distance_dffe15_wi = rshift_distance_dffe14_wo,
+		rshift_distance_dffe15_wo = rshift_distance_dffe15_wi,
+		rshift_distance_w = (({5{exp_diff_abs_exceed_max_w[2]}} & exp_diff_abs_max_w) | ({5{(~ exp_diff_abs_exceed_max_w[2])}} & exp_diff_abs_w[4:0])),
+		sign_dffe31_wi = ((man_res_not_zero_dffe26_wo & man_add_sub_res_sign_dffe26_wo) | ((~ man_res_not_zero_dffe26_wo) & zero_man_sign_dffe26_wo)),
+		sign_dffe31_wo = sign_dffe31,
+		sign_dffe32_wi = sign_dffe31_wo,
+		sign_dffe32_wo = sign_dffe32_wi,
+		sign_dffe33_wi = sign_dffe32_wo,
+		sign_dffe33_wo = sign_dffe33_wi,
+		sign_out_dffe5_wi = ((~ force_nan_w) & ((force_infinity_w & infinite_output_sign_dffe4_wo) | ((~ force_infinity_w) & sign_res_dffe4_wo))),
+		sign_out_dffe5_wo = sign_out_dffe5,
+		sign_res_dffe3_wi = sign_dffe33_wo,
+		sign_res_dffe3_wo = sign_res_dffe3,
+		sign_res_dffe41_wi = sign_res_dffe42_wo,
+		sign_res_dffe41_wo = sign_res_dffe41_wi,
+		sign_res_dffe42_wi = sign_res_dffe3_wo,
+		sign_res_dffe42_wo = sign_res_dffe42_wi,
+		sign_res_dffe4_wi = sign_res_dffe41_wo,
+		sign_res_dffe4_wo = sign_res_dffe4,
+		sticky_bit_cnt_dataa_w = {1'b0, rshift_distance_dffe15_wo},
+		sticky_bit_cnt_datab_w = {1'b0, wire_trailing_zeros_cnt_q},
+		sticky_bit_cnt_res_w = wire_add_sub3_result,
+		sticky_bit_dffe1_wi = wire_trailing_zeros_limit_comparator_agb,
+		sticky_bit_dffe1_wo = sticky_bit_dffe1,
+		sticky_bit_dffe21_wi = sticky_bit_w,
+		sticky_bit_dffe21_wo = sticky_bit_dffe21,
+		sticky_bit_dffe22_wi = sticky_bit_dffe2_wo,
+		sticky_bit_dffe22_wo = sticky_bit_dffe22_wi,
+		sticky_bit_dffe23_wi = sticky_bit_dffe21_wo,
+		sticky_bit_dffe23_wo = sticky_bit_dffe23_wi,
+		sticky_bit_dffe25_wi = sticky_bit_dffe1_wo,
+		sticky_bit_dffe25_wo = sticky_bit_dffe25_wi,
+		sticky_bit_dffe26_wi = sticky_bit_dffe23_wo,
+		sticky_bit_dffe26_wo = sticky_bit_dffe26_wi,
+		sticky_bit_dffe27_wi = sticky_bit_dffe22_wo,
+		sticky_bit_dffe27_wo = sticky_bit_dffe27_wi,
+		sticky_bit_dffe2_wi = sticky_bit_dffe25_wo,
+		sticky_bit_dffe2_wo = sticky_bit_dffe2,
+		sticky_bit_dffe31_wi = sticky_bit_dffe26_wo,
+		sticky_bit_dffe31_wo = sticky_bit_dffe31,
+		sticky_bit_dffe32_wi = sticky_bit_dffe31_wo,
+		sticky_bit_dffe32_wo = sticky_bit_dffe32_wi,
+		sticky_bit_dffe33_wi = sticky_bit_dffe32_wo,
+		sticky_bit_dffe33_wo = sticky_bit_dffe33_wi,
+		sticky_bit_dffe3_wi = sticky_bit_dffe33_wo,
+		sticky_bit_dffe3_wo = sticky_bit_dffe3,
+		sticky_bit_w = ((((((~ man_add_sub_res_mag_dffe27_wo[26]) & (~ man_add_sub_res_mag_dffe27_wo[25])) & sticky_bit_dffe27_wo) | (((~ man_add_sub_res_mag_dffe27_wo[26]) & man_add_sub_res_mag_dffe27_wo[25]) & (sticky_bit_dffe27_wo | man_add_sub_res_mag_dffe27_wo[0]))) | ((man_add_sub_res_mag_dffe27_wo[26] & (~ man_add_sub_res_mag_dffe27_wo[25])) & ((sticky_bit_dffe27_wo | man_add_sub_res_mag_dffe27_wo[0]) | man_add_sub_res_mag_dffe27_wo[1]))) | ((man_add_sub_res_mag_dffe27_wo[26] & man_add_sub_res_mag_dffe27_wo[25]) & ((sticky_bit_dffe27_wo | man_add_sub_res_mag_dffe27_wo[0]) | man_add_sub_res_mag_dffe27_wo[1]))),
+		trailing_zeros_limit_w = 6'b000010,
+		zero_man_sign_dffe21_wi = zero_man_sign_dffe27_wo,
+		zero_man_sign_dffe21_wo = zero_man_sign_dffe21,
+		zero_man_sign_dffe22_wi = zero_man_sign_dffe2_wo,
+		zero_man_sign_dffe22_wo = zero_man_sign_dffe22_wi,
+		zero_man_sign_dffe23_wi = zero_man_sign_dffe21_wo,
+		zero_man_sign_dffe23_wo = zero_man_sign_dffe23_wi,
+		zero_man_sign_dffe26_wi = zero_man_sign_dffe23_wo,
+		zero_man_sign_dffe26_wo = zero_man_sign_dffe26_wi,
+		zero_man_sign_dffe27_wi = zero_man_sign_dffe22_wo,
+		zero_man_sign_dffe27_wo = zero_man_sign_dffe27_wi,
+		zero_man_sign_dffe2_wi = (dataa_sign_dffe25_wo & add_sub_dffe25_wo),
+		zero_man_sign_dffe2_wo = zero_man_sign_dffe2;
+endmodule //fpoint_qsys_addsub_single
+//VALID FILE
+
+//Legal Notice: (C)2010 Altera Corporation. All rights reserved.  Your
+//use of Altera Corporation's design tools, logic functions and other
+//software and tools, and its AMPP partner logic functions, and any
+//output files any of the foregoing (including device programming or
+//simulation files), and any associated documentation or information are
+//expressly subject to the terms and conditions of the Altera Program
+//License Subscription Agreement or other applicable license agreement,
+//including, without limitation, that your use is for the sole purpose
+//of programming logic devices manufactured by Altera and sold by Altera
+//or its authorized distributors.  Please refer to the applicable
+//agreement for further details.
+
+// synthesis translate_off
+`timescale 1ns / 1ps
+// synthesis translate_on
+
+// turn off superfluous verilog processor warnings 
+// altera message_level Level1 
+// altera message_off 10034 10035 10036 10037 10230 10240 10030 
+
+module fpoint_qsys (
+                     // inputs:
+                      clk,
+                      clk_en,
+                      dataa,
+                      datab,
+                      n,
+                      reset,
+                      start,
+
+                     // outputs:
+                      done,
+                      result
+                   )
+;
+
+  output           done;
+  output  [ 31: 0] result;
+  input            clk;
+  input            clk_en;
+  input   [ 31: 0] dataa;
+  input   [ 31: 0] datab;
+  input   [  1: 0] n;
+  input            reset;
+  input            start;
+
+  wire             add_sub;
+  wire    [  3: 0] counter_in;
+  reg     [  3: 0] counter_out;
+  reg     [ 31: 0] dataa_regout;
+  reg     [ 31: 0] datab_regout;
+  wire             done;
+  wire    [  3: 0] load_data;
+  wire             local_reset_n;
+  wire    [ 31: 0] result;
+  wire    [ 31: 0] result_addsub;
+  wire    [ 31: 0] result_mult;
+  //register the input for dataa
+  always @(posedge clk or negedge local_reset_n)
+    begin
+      if (local_reset_n == 0)
+          dataa_regout <= 0;
+      else if (clk_en)
+          dataa_regout <= dataa;
+    end
+
+
+  //register the input for datab
+  always @(posedge clk or negedge local_reset_n)
+    begin
+      if (local_reset_n == 0)
+          datab_regout <= 0;
+      else if (clk_en)
+          datab_regout <= datab;
+    end
+
+
+  fpoint_qsys_mult_single the_fp_mult
+    (
+      .aclr (reset),
+      .clk_en (clk_en),
+      .clock (clk),
+      .dataa (dataa_regout),
+      .datab (datab_regout),
+      .result (result_mult)
+    );
+
+
+  fpoint_qsys_addsub_single the_fp_addsub
+    (
+      .aclr (reset),
+      .add_sub (add_sub),
+      .clk_en (clk_en),
+      .clock (clk),
+      .dataa (dataa_regout),
+      .datab (datab_regout),
+      .result (result_addsub)
+    );
+
+
+  //s1, which is an e_custom_instruction_slave
+  //down_counter to signal done
+  always @(posedge clk or negedge local_reset_n)
+    begin
+      if (local_reset_n == 0)
+          counter_out <= 4'd10;
+      else if (clk_en)
+          counter_out <= counter_in;
+    end
+
+
+  //decrement or load the counter based on start
+  assign counter_in = (start == 0)? counter_out - 1'b1 :
+    load_data;
+
+  assign add_sub = n[0];
+  assign local_reset_n = ~reset;
+  assign done = clk_en & ~|counter_out & ~start;
+  //select load value of counter based on n
+  assign load_data = (n == 0)? 10 :
+    (n == 1)? 8 :
+    8;
+
+  //multiplex output based on n
+  assign result = (n == 0)? result_mult :
+    (n == 1)? result_addsub :
+    result_addsub;
+
+
+endmodule
+

+ 80 - 0
nios2_uc/synthesis/submodules/fpoint_wrapper.v

@@ -0,0 +1,80 @@
+// (C) 2001-2018 Intel Corporation. All rights reserved.
+// Your use of Intel Corporation's design tools, logic functions and other 
+// software and tools, and its AMPP partner logic functions, and any output 
+// files from any of the foregoing (including device programming or simulation 
+// files), and any associated documentation or information are expressly subject 
+// to the terms and conditions of the Intel Program License Subscription 
+// Agreement, Intel FPGA IP License Agreement, or other applicable 
+// license agreement, including, without limitation, that your use is for the 
+// sole purpose of programming logic devices manufactured by Intel and sold by 
+// Intel or its authorized distributors.  Please refer to the applicable 
+// agreement for further details.
+
+
+//synopsys translate_off
+`timescale 1 ps / 1 ps
+//synopsys translate_on
+
+module fpoint_wrapper (
+		clk,
+		clk_en,
+		dataa,
+		datab,
+		n,
+		reset,
+		start,
+		
+		done,
+		result
+);
+
+	output           done;
+	output  [ 31: 0] result;
+	input            clk;
+	input            clk_en;
+	input   [ 31: 0] dataa;
+	input   [ 31: 0] datab;
+	input   [  1: 0] n;
+	input            reset;
+	input            start;
+
+	wire		done;
+	wire	[ 31: 0] result;
+
+	parameter useDivider = 0;
+
+	generate
+		if (useDivider)
+			begin
+				fpoint_hw_qsys fpoint_instance (
+					.clk(clk),
+					.clk_en(clk_en),
+					.dataa(dataa),
+					.datab(datab),
+					.n(n),
+					.reset(reset),
+					.start(start),
+					.done(done),
+					.result(result)
+				);
+			end
+		else
+			begin
+				fpoint_qsys fpoint_instance (
+					.clk(clk),
+					.clk_en(clk_en),
+					.dataa(dataa),
+					.datab(datab),
+					.n(n),
+					.reset(reset),
+					.start(start),
+					.done(done),
+					.result(result)
+				);	
+			end
+	
+	endgenerate
+
+
+endmodule
+

+ 3 - 3
nios2_uc/synthesis/submodules/nios2_uc_irq_mapper.sv

@@ -1,4 +1,4 @@
-// (C) 2001-2019 Intel Corporation. All rights reserved.
+// (C) 2001-2018 Intel Corporation. All rights reserved.
 // Your use of Intel Corporation's design tools, logic functions and other 
 // software and tools, and its AMPP partner logic functions, and any output 
 // files from any of the foregoing (including device programming or simulation 
@@ -11,9 +11,9 @@
 // agreement for further details.
 
 
-// $Id: //acds/rel/19.1std/ip/merlin/altera_irq_mapper/altera_irq_mapper.sv.terp#1 $
+// $Id: //acds/rel/18.1std/ip/merlin/altera_irq_mapper/altera_irq_mapper.sv.terp#1 $
 // $Revision: #1 $
-// $Date: 2018/11/07 $
+// $Date: 2018/07/18 $
 // $Author: psgswbuild $
 
 // -------------------------------------------------------

+ 67 - 0
nios2_uc/synthesis/submodules/nios2_uc_lcd_16207.v

@@ -0,0 +1,67 @@
+//Legal Notice: (C)2020 Altera Corporation. All rights reserved.  Your
+//use of Altera Corporation's design tools, logic functions and other
+//software and tools, and its AMPP partner logic functions, and any
+//output files any of the foregoing (including device programming or
+//simulation files), and any associated documentation or information are
+//expressly subject to the terms and conditions of the Altera Program
+//License Subscription Agreement or other applicable license agreement,
+//including, without limitation, that your use is for the sole purpose
+//of programming logic devices manufactured by Altera and sold by Altera
+//or its authorized distributors.  Please refer to the applicable
+//agreement for further details.
+
+// synthesis translate_off
+`timescale 1ns / 1ps
+// synthesis translate_on
+
+// turn off superfluous verilog processor warnings 
+// altera message_level Level1 
+// altera message_off 10034 10035 10036 10037 10230 10240 10030 
+
+module nios2_uc_lcd_16207 (
+                            // inputs:
+                             address,
+                             begintransfer,
+                             clk,
+                             read,
+                             reset_n,
+                             write,
+                             writedata,
+
+                            // outputs:
+                             LCD_E,
+                             LCD_RS,
+                             LCD_RW,
+                             LCD_data,
+                             readdata
+                          )
+;
+
+  output           LCD_E;
+  output           LCD_RS;
+  output           LCD_RW;
+  inout   [  7: 0] LCD_data;
+  output  [  7: 0] readdata;
+  input   [  1: 0] address;
+  input            begintransfer;
+  input            clk;
+  input            read;
+  input            reset_n;
+  input            write;
+  input   [  7: 0] writedata;
+
+
+wire             LCD_E;
+wire             LCD_RS;
+wire             LCD_RW;
+wire    [  7: 0] LCD_data;
+wire    [  7: 0] readdata;
+  assign LCD_RW = address[0];
+  assign LCD_RS = address[1];
+  assign LCD_E = read | write;
+  assign LCD_data = (address[0]) ? {8{1'bz}} : writedata;
+  assign readdata = LCD_data;
+  //control_slave, which is an e_avalon_slave
+
+endmodule
+

Những thai đổi đã bị hủy bỏ vì nó quá lớn
+ 570 - 158
nios2_uc/synthesis/submodules/nios2_uc_mm_interconnect_0.v


+ 1 - 1
nios2_uc/synthesis/submodules/nios2_uc_mm_interconnect_0_avalon_st_adapter.v

@@ -3,7 +3,7 @@
 // This file was auto-generated from altera_avalon_st_adapter_hw.tcl.  If you edit it your changes
 // will probably be lost.
 // 
-// Generated using ACDS version 18.1 646
+// Generated using ACDS version 18.1 625
 
 `timescale 1 ps / 1 ps
 module nios2_uc_mm_interconnect_0_avalon_st_adapter #(

+ 1 - 1
nios2_uc/synthesis/submodules/nios2_uc_mm_interconnect_0_avalon_st_adapter_error_adapter_0.sv

@@ -1,4 +1,4 @@
-// (C) 2001-2019 Intel Corporation. All rights reserved.
+// (C) 2001-2018 Intel Corporation. All rights reserved.
 // Your use of Intel Corporation's design tools, logic functions and other 
 // software and tools, and its AMPP partner logic functions, and any output 
 // files from any of the foregoing (including device programming or simulation 

+ 62 - 17
nios2_uc/synthesis/submodules/nios2_uc_mm_interconnect_0_cmd_demux.sv

@@ -1,4 +1,4 @@
-// (C) 2001-2019 Intel Corporation. All rights reserved.
+// (C) 2001-2018 Intel Corporation. All rights reserved.
 // Your use of Intel Corporation's design tools, logic functions and other 
 // software and tools, and its AMPP partner logic functions, and any output 
 // files from any of the foregoing (including device programming or simulation 
@@ -11,9 +11,9 @@
 // agreement for further details.
 
 
-// $Id: //acds/rel/19.1std/ip/merlin/altera_merlin_demultiplexer/altera_merlin_demultiplexer.sv.terp#1 $
+// $Id: //acds/rel/18.1std/ip/merlin/altera_merlin_demultiplexer/altera_merlin_demultiplexer.sv.terp#1 $
 // $Revision: #1 $
-// $Date: 2018/11/07 $
+// $Date: 2018/07/18 $
 // $Author: psgswbuild $
 
 // -------------------------------------
@@ -28,9 +28,9 @@
 // ------------------------------------------
 // Generation parameters:
 //   output_name:         nios2_uc_mm_interconnect_0_cmd_demux
-//   ST_DATA_W:           94
-//   ST_CHANNEL_W:        4
-//   NUM_OUTPUTS:         4
+//   ST_DATA_W:           96
+//   ST_CHANNEL_W:        7
+//   NUM_OUTPUTS:         7
 //   VALID_WIDTH:         1
 // ------------------------------------------
 
@@ -46,8 +46,8 @@ module nios2_uc_mm_interconnect_0_cmd_demux
     // Sink
     // -------------------
     input  [1-1      : 0]   sink_valid,
-    input  [94-1    : 0]   sink_data, // ST_DATA_W=94
-    input  [4-1 : 0]   sink_channel, // ST_CHANNEL_W=4
+    input  [96-1    : 0]   sink_data, // ST_DATA_W=96
+    input  [7-1 : 0]   sink_channel, // ST_CHANNEL_W=7
     input                         sink_startofpacket,
     input                         sink_endofpacket,
     output                        sink_ready,
@@ -56,33 +56,54 @@ module nios2_uc_mm_interconnect_0_cmd_demux
     // Sources 
     // -------------------
     output reg                      src0_valid,
-    output reg [94-1    : 0] src0_data, // ST_DATA_W=94
-    output reg [4-1 : 0] src0_channel, // ST_CHANNEL_W=4
+    output reg [96-1    : 0] src0_data, // ST_DATA_W=96
+    output reg [7-1 : 0] src0_channel, // ST_CHANNEL_W=7
     output reg                      src0_startofpacket,
     output reg                      src0_endofpacket,
     input                           src0_ready,
 
     output reg                      src1_valid,
-    output reg [94-1    : 0] src1_data, // ST_DATA_W=94
-    output reg [4-1 : 0] src1_channel, // ST_CHANNEL_W=4
+    output reg [96-1    : 0] src1_data, // ST_DATA_W=96
+    output reg [7-1 : 0] src1_channel, // ST_CHANNEL_W=7
     output reg                      src1_startofpacket,
     output reg                      src1_endofpacket,
     input                           src1_ready,
 
     output reg                      src2_valid,
-    output reg [94-1    : 0] src2_data, // ST_DATA_W=94
-    output reg [4-1 : 0] src2_channel, // ST_CHANNEL_W=4
+    output reg [96-1    : 0] src2_data, // ST_DATA_W=96
+    output reg [7-1 : 0] src2_channel, // ST_CHANNEL_W=7
     output reg                      src2_startofpacket,
     output reg                      src2_endofpacket,
     input                           src2_ready,
 
     output reg                      src3_valid,
-    output reg [94-1    : 0] src3_data, // ST_DATA_W=94
-    output reg [4-1 : 0] src3_channel, // ST_CHANNEL_W=4
+    output reg [96-1    : 0] src3_data, // ST_DATA_W=96
+    output reg [7-1 : 0] src3_channel, // ST_CHANNEL_W=7
     output reg                      src3_startofpacket,
     output reg                      src3_endofpacket,
     input                           src3_ready,
 
+    output reg                      src4_valid,
+    output reg [96-1    : 0] src4_data, // ST_DATA_W=96
+    output reg [7-1 : 0] src4_channel, // ST_CHANNEL_W=7
+    output reg                      src4_startofpacket,
+    output reg                      src4_endofpacket,
+    input                           src4_ready,
+
+    output reg                      src5_valid,
+    output reg [96-1    : 0] src5_data, // ST_DATA_W=96
+    output reg [7-1 : 0] src5_channel, // ST_CHANNEL_W=7
+    output reg                      src5_startofpacket,
+    output reg                      src5_endofpacket,
+    input                           src5_ready,
+
+    output reg                      src6_valid,
+    output reg [96-1    : 0] src6_data, // ST_DATA_W=96
+    output reg [7-1 : 0] src6_channel, // ST_CHANNEL_W=7
+    output reg                      src6_startofpacket,
+    output reg                      src6_endofpacket,
+    input                           src6_ready,
+
 
     // -------------------
     // Clock & Reset
@@ -94,7 +115,7 @@ module nios2_uc_mm_interconnect_0_cmd_demux
 
 );
 
-    localparam NUM_OUTPUTS = 4;
+    localparam NUM_OUTPUTS = 7;
     wire [NUM_OUTPUTS - 1 : 0] ready_vector;
 
     // -------------------
@@ -129,6 +150,27 @@ module nios2_uc_mm_interconnect_0_cmd_demux
 
         src3_valid         = sink_channel[3] && sink_valid;
 
+        src4_data          = sink_data;
+        src4_startofpacket = sink_startofpacket;
+        src4_endofpacket   = sink_endofpacket;
+        src4_channel       = sink_channel >> NUM_OUTPUTS;
+
+        src4_valid         = sink_channel[4] && sink_valid;
+
+        src5_data          = sink_data;
+        src5_startofpacket = sink_startofpacket;
+        src5_endofpacket   = sink_endofpacket;
+        src5_channel       = sink_channel >> NUM_OUTPUTS;
+
+        src5_valid         = sink_channel[5] && sink_valid;
+
+        src6_data          = sink_data;
+        src6_startofpacket = sink_startofpacket;
+        src6_endofpacket   = sink_endofpacket;
+        src6_channel       = sink_channel >> NUM_OUTPUTS;
+
+        src6_valid         = sink_channel[6] && sink_valid;
+
     end
 
     // -------------------
@@ -138,6 +180,9 @@ module nios2_uc_mm_interconnect_0_cmd_demux
     assign ready_vector[1] = src1_ready;
     assign ready_vector[2] = src2_ready;
     assign ready_vector[3] = src3_ready;
+    assign ready_vector[4] = src4_ready;
+    assign ready_vector[5] = src5_ready;
+    assign ready_vector[6] = src6_ready;
 
     assign sink_ready = |(sink_channel & ready_vector);
 

+ 14 - 14
nios2_uc/synthesis/submodules/nios2_uc_mm_interconnect_0_cmd_mux.sv

@@ -1,4 +1,4 @@
-// (C) 2001-2019 Intel Corporation. All rights reserved.
+// (C) 2001-2018 Intel Corporation. All rights reserved.
 // Your use of Intel Corporation's design tools, logic functions and other 
 // software and tools, and its AMPP partner logic functions, and any output 
 // files from any of the foregoing (including device programming or simulation 
@@ -24,9 +24,9 @@
 // agreement for further details.
 
 
-// $Id: //acds/rel/19.1std/ip/merlin/altera_merlin_multiplexer/altera_merlin_multiplexer.sv.terp#1 $
+// $Id: //acds/rel/18.1std/ip/merlin/altera_merlin_multiplexer/altera_merlin_multiplexer.sv.terp#1 $
 // $Revision: #1 $
-// $Date: 2018/11/07 $
+// $Date: 2018/07/18 $
 // $Author: psgswbuild $
 
 // ------------------------------------------
@@ -44,8 +44,8 @@
 //   ARBITRATION_SCHEME   "round-robin"
 //   PIPELINE_ARB:        1
 //   PKT_TRANS_LOCK:      60 (arbitration locking enabled)
-//   ST_DATA_W:           94
-//   ST_CHANNEL_W:        4
+//   ST_DATA_W:           96
+//   ST_CHANNEL_W:        7
 // ------------------------------------------
 
 module nios2_uc_mm_interconnect_0_cmd_mux
@@ -54,15 +54,15 @@ module nios2_uc_mm_interconnect_0_cmd_mux
     // Sinks
     // ----------------------
     input                       sink0_valid,
-    input [94-1   : 0]  sink0_data,
-    input [4-1: 0]  sink0_channel,
+    input [96-1   : 0]  sink0_data,
+    input [7-1: 0]  sink0_channel,
     input                       sink0_startofpacket,
     input                       sink0_endofpacket,
     output                      sink0_ready,
 
     input                       sink1_valid,
-    input [94-1   : 0]  sink1_data,
-    input [4-1: 0]  sink1_channel,
+    input [96-1   : 0]  sink1_data,
+    input [7-1: 0]  sink1_channel,
     input                       sink1_startofpacket,
     input                       sink1_endofpacket,
     output                      sink1_ready,
@@ -72,8 +72,8 @@ module nios2_uc_mm_interconnect_0_cmd_mux
     // Source
     // ----------------------
     output                      src_valid,
-    output [94-1    : 0] src_data,
-    output [4-1 : 0] src_channel,
+    output [96-1    : 0] src_data,
+    output [7-1 : 0] src_channel,
     output                      src_startofpacket,
     output                      src_endofpacket,
     input                       src_ready,
@@ -84,12 +84,12 @@ module nios2_uc_mm_interconnect_0_cmd_mux
     input clk,
     input reset
 );
-    localparam PAYLOAD_W        = 94 + 4 + 2;
+    localparam PAYLOAD_W        = 96 + 7 + 2;
     localparam NUM_INPUTS       = 2;
     localparam SHARE_COUNTER_W  = 1;
     localparam PIPELINE_ARB     = 1;
-    localparam ST_DATA_W        = 94;
-    localparam ST_CHANNEL_W     = 4;
+    localparam ST_DATA_W        = 96;
+    localparam ST_CHANNEL_W     = 7;
     localparam PKT_TRANS_LOCK   = 60;
 
     // ------------------------------------------

+ 63 - 37
nios2_uc/synthesis/submodules/nios2_uc_mm_interconnect_0_router.sv

@@ -1,4 +1,4 @@
-// (C) 2001-2019 Intel Corporation. All rights reserved.
+// (C) 2001-2018 Intel Corporation. All rights reserved.
 // Your use of Intel Corporation's design tools, logic functions and other 
 // software and tools, and its AMPP partner logic functions, and any output 
 // files from any of the foregoing (including device programming or simulation 
@@ -24,9 +24,9 @@
 // agreement for further details.
 
 
-// $Id: //acds/rel/19.1std/ip/merlin/altera_merlin_router/altera_merlin_router.sv.terp#1 $
+// $Id: //acds/rel/18.1std/ip/merlin/altera_merlin_router/altera_merlin_router.sv.terp#1 $
 // $Revision: #1 $
-// $Date: 2018/11/07 $
+// $Date: 2018/07/18 $
 // $Author: psgswbuild $
 
 // -------------------------------------------------------
@@ -44,26 +44,26 @@
 
 module nios2_uc_mm_interconnect_0_router_default_decode
   #(
-     parameter DEFAULT_CHANNEL = 2,
+     parameter DEFAULT_CHANNEL = 3,
                DEFAULT_WR_CHANNEL = -1,
                DEFAULT_RD_CHANNEL = -1,
-               DEFAULT_DESTID = 2 
+               DEFAULT_DESTID = 3 
    )
-  (output [80 - 79 : 0] default_destination_id,
-   output [4-1 : 0] default_wr_channel,
-   output [4-1 : 0] default_rd_channel,
-   output [4-1 : 0] default_src_channel
+  (output [82 - 80 : 0] default_destination_id,
+   output [7-1 : 0] default_wr_channel,
+   output [7-1 : 0] default_rd_channel,
+   output [7-1 : 0] default_src_channel
   );
 
   assign default_destination_id = 
-    DEFAULT_DESTID[80 - 79 : 0];
+    DEFAULT_DESTID[82 - 80 : 0];
 
   generate
     if (DEFAULT_CHANNEL == -1) begin : no_default_channel_assignment
       assign default_src_channel = '0;
     end
     else begin : default_channel_assignment
-      assign default_src_channel = 4'b1 << DEFAULT_CHANNEL;
+      assign default_src_channel = 7'b1 << DEFAULT_CHANNEL;
     end
   endgenerate
 
@@ -73,8 +73,8 @@ module nios2_uc_mm_interconnect_0_router_default_decode
       assign default_rd_channel = '0;
     end
     else begin : default_rw_channel_assignment
-      assign default_wr_channel = 4'b1 << DEFAULT_WR_CHANNEL;
-      assign default_rd_channel = 4'b1 << DEFAULT_RD_CHANNEL;
+      assign default_wr_channel = 7'b1 << DEFAULT_WR_CHANNEL;
+      assign default_rd_channel = 7'b1 << DEFAULT_RD_CHANNEL;
     end
   endgenerate
 
@@ -93,7 +93,7 @@ module nios2_uc_mm_interconnect_0_router
     // Command Sink (Input)
     // -------------------
     input                       sink_valid,
-    input  [94-1 : 0]    sink_data,
+    input  [96-1 : 0]    sink_data,
     input                       sink_startofpacket,
     input                       sink_endofpacket,
     output                      sink_ready,
@@ -102,8 +102,8 @@ module nios2_uc_mm_interconnect_0_router
     // Command Source (Output)
     // -------------------
     output                          src_valid,
-    output reg [94-1    : 0] src_data,
-    output reg [4-1 : 0] src_channel,
+    output reg [96-1    : 0] src_data,
+    output reg [7-1 : 0] src_channel,
     output                          src_startofpacket,
     output                          src_endofpacket,
     input                           src_ready
@@ -114,12 +114,12 @@ module nios2_uc_mm_interconnect_0_router
     // -------------------------------------------------------
     localparam PKT_ADDR_H = 55;
     localparam PKT_ADDR_L = 36;
-    localparam PKT_DEST_ID_H = 80;
-    localparam PKT_DEST_ID_L = 79;
-    localparam PKT_PROTECTION_H = 84;
-    localparam PKT_PROTECTION_L = 82;
-    localparam ST_DATA_W = 94;
-    localparam ST_CHANNEL_W = 4;
+    localparam PKT_DEST_ID_H = 82;
+    localparam PKT_DEST_ID_L = 80;
+    localparam PKT_PROTECTION_H = 86;
+    localparam PKT_PROTECTION_L = 84;
+    localparam ST_DATA_W = 96;
+    localparam ST_CHANNEL_W = 7;
     localparam DECODER_TYPE = 0;
 
     localparam PKT_TRANS_WRITE = 58;
@@ -136,14 +136,17 @@ module nios2_uc_mm_interconnect_0_router
     // -------------------------------------------------------
     localparam PAD0 = log2ceil(64'h80000 - 64'h40000); 
     localparam PAD1 = log2ceil(64'h81000 - 64'h80800); 
-    localparam PAD2 = log2ceil(64'h81020 - 64'h81010); 
-    localparam PAD3 = log2ceil(64'h81030 - 64'h81028); 
+    localparam PAD2 = log2ceil(64'h81050 - 64'h81040); 
+    localparam PAD3 = log2ceil(64'h81060 - 64'h81050); 
+    localparam PAD4 = log2ceil(64'h81070 - 64'h81060); 
+    localparam PAD5 = log2ceil(64'h81080 - 64'h81070); 
+    localparam PAD6 = log2ceil(64'h81090 - 64'h81088); 
     // -------------------------------------------------------
     // Work out which address bits are significant based on the
     // address range of the slaves. If the required width is too
     // large or too small, we use the address field width instead.
     // -------------------------------------------------------
-    localparam ADDR_RANGE = 64'h81030;
+    localparam ADDR_RANGE = 64'h81090;
     localparam RANGE_ADDR_WIDTH = log2ceil(ADDR_RANGE);
     localparam OPTIMIZED_ADDR_H = (RANGE_ADDR_WIDTH > PKT_ADDR_W) ||
                                   (RANGE_ADDR_WIDTH == 0) ?
@@ -167,11 +170,16 @@ module nios2_uc_mm_interconnect_0_router
     assign src_startofpacket = sink_startofpacket;
     assign src_endofpacket   = sink_endofpacket;
     wire [PKT_DEST_ID_W-1:0] default_destid;
-    wire [4-1 : 0] default_src_channel;
+    wire [7-1 : 0] default_src_channel;
 
 
 
 
+    // -------------------------------------------------------
+    // Write and read transaction signals
+    // -------------------------------------------------------
+    wire read_transaction;
+    assign read_transaction  = sink_data[PKT_TRANS_READ];
 
 
     nios2_uc_mm_interconnect_0_router_default_decode the_default_decode(
@@ -193,25 +201,43 @@ module nios2_uc_mm_interconnect_0_router
 
     // ( 0x40000 .. 0x80000 )
     if ( {address[RG:PAD0],{PAD0{1'b0}}} == 20'h40000   ) begin
-            src_channel = 4'b0100;
-            src_data[PKT_DEST_ID_H:PKT_DEST_ID_L] = 2;
+            src_channel = 7'b0001000;
+            src_data[PKT_DEST_ID_H:PKT_DEST_ID_L] = 3;
     end
 
     // ( 0x80800 .. 0x81000 )
     if ( {address[RG:PAD1],{PAD1{1'b0}}} == 20'h80800   ) begin
-            src_channel = 4'b0010;
-            src_data[PKT_DEST_ID_H:PKT_DEST_ID_L] = 1;
+            src_channel = 7'b0000100;
+            src_data[PKT_DEST_ID_H:PKT_DEST_ID_L] = 2;
     end
 
-    // ( 0x81010 .. 0x81020 )
-    if ( {address[RG:PAD2],{PAD2{1'b0}}} == 20'h81010   ) begin
-            src_channel = 4'b1000;
-            src_data[PKT_DEST_ID_H:PKT_DEST_ID_L] = 3;
+    // ( 0x81040 .. 0x81050 )
+    if ( {address[RG:PAD2],{PAD2{1'b0}}} == 20'h81040  && read_transaction  ) begin
+            src_channel = 7'b1000000;
+            src_data[PKT_DEST_ID_H:PKT_DEST_ID_L] = 4;
+    end
+
+    // ( 0x81050 .. 0x81060 )
+    if ( {address[RG:PAD3],{PAD3{1'b0}}} == 20'h81050   ) begin
+            src_channel = 7'b0100000;
+            src_data[PKT_DEST_ID_H:PKT_DEST_ID_L] = 6;
+    end
+
+    // ( 0x81060 .. 0x81070 )
+    if ( {address[RG:PAD4],{PAD4{1'b0}}} == 20'h81060   ) begin
+            src_channel = 7'b0010000;
+            src_data[PKT_DEST_ID_H:PKT_DEST_ID_L] = 5;
+    end
+
+    // ( 0x81070 .. 0x81080 )
+    if ( {address[RG:PAD5],{PAD5{1'b0}}} == 20'h81070   ) begin
+            src_channel = 7'b0000010;
+            src_data[PKT_DEST_ID_H:PKT_DEST_ID_L] = 1;
     end
 
-    // ( 0x81028 .. 0x81030 )
-    if ( {address[RG:PAD3],{PAD3{1'b0}}} == 20'h81028   ) begin
-            src_channel = 4'b0001;
+    // ( 0x81088 .. 0x81090 )
+    if ( {address[RG:PAD6],{PAD6{1'b0}}} == 20'h81088   ) begin
+            src_channel = 7'b0000001;
             src_data[PKT_DEST_ID_H:PKT_DEST_ID_L] = 0;
     end
 

+ 23 - 23
nios2_uc/synthesis/submodules/nios2_uc_mm_interconnect_0_router_002.sv

@@ -1,4 +1,4 @@
-// (C) 2001-2019 Intel Corporation. All rights reserved.
+// (C) 2001-2018 Intel Corporation. All rights reserved.
 // Your use of Intel Corporation's design tools, logic functions and other 
 // software and tools, and its AMPP partner logic functions, and any output 
 // files from any of the foregoing (including device programming or simulation 
@@ -24,9 +24,9 @@
 // agreement for further details.
 
 
-// $Id: //acds/rel/19.1std/ip/merlin/altera_merlin_router/altera_merlin_router.sv.terp#1 $
+// $Id: //acds/rel/18.1std/ip/merlin/altera_merlin_router/altera_merlin_router.sv.terp#1 $
 // $Revision: #1 $
-// $Date: 2018/11/07 $
+// $Date: 2018/07/18 $
 // $Author: psgswbuild $
 
 // -------------------------------------------------------
@@ -49,21 +49,21 @@ module nios2_uc_mm_interconnect_0_router_002_default_decode
                DEFAULT_RD_CHANNEL = -1,
                DEFAULT_DESTID = 0 
    )
-  (output [80 - 79 : 0] default_destination_id,
-   output [4-1 : 0] default_wr_channel,
-   output [4-1 : 0] default_rd_channel,
-   output [4-1 : 0] default_src_channel
+  (output [82 - 80 : 0] default_destination_id,
+   output [7-1 : 0] default_wr_channel,
+   output [7-1 : 0] default_rd_channel,
+   output [7-1 : 0] default_src_channel
   );
 
   assign default_destination_id = 
-    DEFAULT_DESTID[80 - 79 : 0];
+    DEFAULT_DESTID[82 - 80 : 0];
 
   generate
     if (DEFAULT_CHANNEL == -1) begin : no_default_channel_assignment
       assign default_src_channel = '0;
     end
     else begin : default_channel_assignment
-      assign default_src_channel = 4'b1 << DEFAULT_CHANNEL;
+      assign default_src_channel = 7'b1 << DEFAULT_CHANNEL;
     end
   endgenerate
 
@@ -73,8 +73,8 @@ module nios2_uc_mm_interconnect_0_router_002_default_decode
       assign default_rd_channel = '0;
     end
     else begin : default_rw_channel_assignment
-      assign default_wr_channel = 4'b1 << DEFAULT_WR_CHANNEL;
-      assign default_rd_channel = 4'b1 << DEFAULT_RD_CHANNEL;
+      assign default_wr_channel = 7'b1 << DEFAULT_WR_CHANNEL;
+      assign default_rd_channel = 7'b1 << DEFAULT_RD_CHANNEL;
     end
   endgenerate
 
@@ -93,7 +93,7 @@ module nios2_uc_mm_interconnect_0_router_002
     // Command Sink (Input)
     // -------------------
     input                       sink_valid,
-    input  [94-1 : 0]    sink_data,
+    input  [96-1 : 0]    sink_data,
     input                       sink_startofpacket,
     input                       sink_endofpacket,
     output                      sink_ready,
@@ -102,8 +102,8 @@ module nios2_uc_mm_interconnect_0_router_002
     // Command Source (Output)
     // -------------------
     output                          src_valid,
-    output reg [94-1    : 0] src_data,
-    output reg [4-1 : 0] src_channel,
+    output reg [96-1    : 0] src_data,
+    output reg [7-1 : 0] src_channel,
     output                          src_startofpacket,
     output                          src_endofpacket,
     input                           src_ready
@@ -114,12 +114,12 @@ module nios2_uc_mm_interconnect_0_router_002
     // -------------------------------------------------------
     localparam PKT_ADDR_H = 55;
     localparam PKT_ADDR_L = 36;
-    localparam PKT_DEST_ID_H = 80;
-    localparam PKT_DEST_ID_L = 79;
-    localparam PKT_PROTECTION_H = 84;
-    localparam PKT_PROTECTION_L = 82;
-    localparam ST_DATA_W = 94;
-    localparam ST_CHANNEL_W = 4;
+    localparam PKT_DEST_ID_H = 82;
+    localparam PKT_DEST_ID_L = 80;
+    localparam PKT_PROTECTION_H = 86;
+    localparam PKT_PROTECTION_L = 84;
+    localparam ST_DATA_W = 96;
+    localparam ST_CHANNEL_W = 7;
     localparam DECODER_TYPE = 1;
 
     localparam PKT_TRANS_WRITE = 58;
@@ -158,7 +158,7 @@ module nios2_uc_mm_interconnect_0_router_002
     assign src_valid         = sink_valid;
     assign src_startofpacket = sink_startofpacket;
     assign src_endofpacket   = sink_endofpacket;
-    wire [4-1 : 0] default_src_channel;
+    wire [7-1 : 0] default_src_channel;
 
 
 
@@ -190,11 +190,11 @@ module nios2_uc_mm_interconnect_0_router_002
 
 
         if (destid == 0 ) begin
-            src_channel = 4'b01;
+            src_channel = 7'b01;
         end
 
         if (destid == 1  && read_transaction) begin
-            src_channel = 4'b10;
+            src_channel = 7'b10;
         end
 
 

+ 12 - 12
nios2_uc/synthesis/submodules/nios2_uc_mm_interconnect_0_rsp_demux.sv

@@ -1,4 +1,4 @@
-// (C) 2001-2019 Intel Corporation. All rights reserved.
+// (C) 2001-2018 Intel Corporation. All rights reserved.
 // Your use of Intel Corporation's design tools, logic functions and other 
 // software and tools, and its AMPP partner logic functions, and any output 
 // files from any of the foregoing (including device programming or simulation 
@@ -11,9 +11,9 @@
 // agreement for further details.
 
 
-// $Id: //acds/rel/19.1std/ip/merlin/altera_merlin_demultiplexer/altera_merlin_demultiplexer.sv.terp#1 $
+// $Id: //acds/rel/18.1std/ip/merlin/altera_merlin_demultiplexer/altera_merlin_demultiplexer.sv.terp#1 $
 // $Revision: #1 $
-// $Date: 2018/11/07 $
+// $Date: 2018/07/18 $
 // $Author: psgswbuild $
 
 // -------------------------------------
@@ -28,8 +28,8 @@
 // ------------------------------------------
 // Generation parameters:
 //   output_name:         nios2_uc_mm_interconnect_0_rsp_demux
-//   ST_DATA_W:           94
-//   ST_CHANNEL_W:        4
+//   ST_DATA_W:           96
+//   ST_CHANNEL_W:        7
 //   NUM_OUTPUTS:         2
 //   VALID_WIDTH:         1
 // ------------------------------------------
@@ -46,8 +46,8 @@ module nios2_uc_mm_interconnect_0_rsp_demux
     // Sink
     // -------------------
     input  [1-1      : 0]   sink_valid,
-    input  [94-1    : 0]   sink_data, // ST_DATA_W=94
-    input  [4-1 : 0]   sink_channel, // ST_CHANNEL_W=4
+    input  [96-1    : 0]   sink_data, // ST_DATA_W=96
+    input  [7-1 : 0]   sink_channel, // ST_CHANNEL_W=7
     input                         sink_startofpacket,
     input                         sink_endofpacket,
     output                        sink_ready,
@@ -56,15 +56,15 @@ module nios2_uc_mm_interconnect_0_rsp_demux
     // Sources 
     // -------------------
     output reg                      src0_valid,
-    output reg [94-1    : 0] src0_data, // ST_DATA_W=94
-    output reg [4-1 : 0] src0_channel, // ST_CHANNEL_W=4
+    output reg [96-1    : 0] src0_data, // ST_DATA_W=96
+    output reg [7-1 : 0] src0_channel, // ST_CHANNEL_W=7
     output reg                      src0_startofpacket,
     output reg                      src0_endofpacket,
     input                           src0_ready,
 
     output reg                      src1_valid,
-    output reg [94-1    : 0] src1_data, // ST_DATA_W=94
-    output reg [4-1 : 0] src1_channel, // ST_CHANNEL_W=4
+    output reg [96-1    : 0] src1_data, // ST_DATA_W=96
+    output reg [7-1 : 0] src1_channel, // ST_CHANNEL_W=7
     output reg                      src1_startofpacket,
     output reg                      src1_endofpacket,
     input                           src1_ready,
@@ -109,7 +109,7 @@ module nios2_uc_mm_interconnect_0_rsp_demux
     assign ready_vector[0] = src0_ready;
     assign ready_vector[1] = src1_ready;
 
-    assign sink_ready = |(sink_channel & {{2{1'b0}},{ready_vector[NUM_OUTPUTS - 1 : 0]}});
+    assign sink_ready = |(sink_channel & {{5{1'b0}},{ready_vector[NUM_OUTPUTS - 1 : 0]}});
 
 endmodule
 

+ 83 - 23
nios2_uc/synthesis/submodules/nios2_uc_mm_interconnect_0_rsp_mux.sv

@@ -1,4 +1,4 @@
-// (C) 2001-2019 Intel Corporation. All rights reserved.
+// (C) 2001-2018 Intel Corporation. All rights reserved.
 // Your use of Intel Corporation's design tools, logic functions and other 
 // software and tools, and its AMPP partner logic functions, and any output 
 // files from any of the foregoing (including device programming or simulation 
@@ -24,9 +24,9 @@
 // agreement for further details.
 
 
-// $Id: //acds/rel/19.1std/ip/merlin/altera_merlin_multiplexer/altera_merlin_multiplexer.sv.terp#1 $
+// $Id: //acds/rel/18.1std/ip/merlin/altera_merlin_multiplexer/altera_merlin_multiplexer.sv.terp#1 $
 // $Revision: #1 $
-// $Date: 2018/11/07 $
+// $Date: 2018/07/18 $
 // $Author: psgswbuild $
 
 // ------------------------------------------
@@ -39,13 +39,13 @@
 // ------------------------------------------
 // Generation parameters:
 //   output_name:         nios2_uc_mm_interconnect_0_rsp_mux
-//   NUM_INPUTS:          4
-//   ARBITRATION_SHARES:  1 1 1 1
+//   NUM_INPUTS:          7
+//   ARBITRATION_SHARES:  1 1 1 1 1 1 1
 //   ARBITRATION_SCHEME   "no-arb"
 //   PIPELINE_ARB:        0
 //   PKT_TRANS_LOCK:      60 (arbitration locking enabled)
-//   ST_DATA_W:           94
-//   ST_CHANNEL_W:        4
+//   ST_DATA_W:           96
+//   ST_CHANNEL_W:        7
 // ------------------------------------------
 
 module nios2_uc_mm_interconnect_0_rsp_mux
@@ -54,40 +54,61 @@ module nios2_uc_mm_interconnect_0_rsp_mux
     // Sinks
     // ----------------------
     input                       sink0_valid,
-    input [94-1   : 0]  sink0_data,
-    input [4-1: 0]  sink0_channel,
+    input [96-1   : 0]  sink0_data,
+    input [7-1: 0]  sink0_channel,
     input                       sink0_startofpacket,
     input                       sink0_endofpacket,
     output                      sink0_ready,
 
     input                       sink1_valid,
-    input [94-1   : 0]  sink1_data,
-    input [4-1: 0]  sink1_channel,
+    input [96-1   : 0]  sink1_data,
+    input [7-1: 0]  sink1_channel,
     input                       sink1_startofpacket,
     input                       sink1_endofpacket,
     output                      sink1_ready,
 
     input                       sink2_valid,
-    input [94-1   : 0]  sink2_data,
-    input [4-1: 0]  sink2_channel,
+    input [96-1   : 0]  sink2_data,
+    input [7-1: 0]  sink2_channel,
     input                       sink2_startofpacket,
     input                       sink2_endofpacket,
     output                      sink2_ready,
 
     input                       sink3_valid,
-    input [94-1   : 0]  sink3_data,
-    input [4-1: 0]  sink3_channel,
+    input [96-1   : 0]  sink3_data,
+    input [7-1: 0]  sink3_channel,
     input                       sink3_startofpacket,
     input                       sink3_endofpacket,
     output                      sink3_ready,
 
+    input                       sink4_valid,
+    input [96-1   : 0]  sink4_data,
+    input [7-1: 0]  sink4_channel,
+    input                       sink4_startofpacket,
+    input                       sink4_endofpacket,
+    output                      sink4_ready,
+
+    input                       sink5_valid,
+    input [96-1   : 0]  sink5_data,
+    input [7-1: 0]  sink5_channel,
+    input                       sink5_startofpacket,
+    input                       sink5_endofpacket,
+    output                      sink5_ready,
+
+    input                       sink6_valid,
+    input [96-1   : 0]  sink6_data,
+    input [7-1: 0]  sink6_channel,
+    input                       sink6_startofpacket,
+    input                       sink6_endofpacket,
+    output                      sink6_ready,
+
 
     // ----------------------
     // Source
     // ----------------------
     output                      src_valid,
-    output [94-1    : 0] src_data,
-    output [4-1 : 0] src_channel,
+    output [96-1    : 0] src_data,
+    output [7-1 : 0] src_channel,
     output                      src_startofpacket,
     output                      src_endofpacket,
     input                       src_ready,
@@ -98,12 +119,12 @@ module nios2_uc_mm_interconnect_0_rsp_mux
     input clk,
     input reset
 );
-    localparam PAYLOAD_W        = 94 + 4 + 2;
-    localparam NUM_INPUTS       = 4;
+    localparam PAYLOAD_W        = 96 + 7 + 2;
+    localparam NUM_INPUTS       = 7;
     localparam SHARE_COUNTER_W  = 1;
     localparam PIPELINE_ARB     = 0;
-    localparam ST_DATA_W        = 94;
-    localparam ST_CHANNEL_W     = 4;
+    localparam ST_DATA_W        = 96;
+    localparam ST_CHANNEL_W     = 7;
     localparam PKT_TRANS_LOCK   = 60;
 
     // ------------------------------------------
@@ -123,11 +144,17 @@ module nios2_uc_mm_interconnect_0_rsp_mux
     wire [PAYLOAD_W - 1 : 0] sink1_payload;
     wire [PAYLOAD_W - 1 : 0] sink2_payload;
     wire [PAYLOAD_W - 1 : 0] sink3_payload;
+    wire [PAYLOAD_W - 1 : 0] sink4_payload;
+    wire [PAYLOAD_W - 1 : 0] sink5_payload;
+    wire [PAYLOAD_W - 1 : 0] sink6_payload;
 
     assign valid[0] = sink0_valid;
     assign valid[1] = sink1_valid;
     assign valid[2] = sink2_valid;
     assign valid[3] = sink3_valid;
+    assign valid[4] = sink4_valid;
+    assign valid[5] = sink5_valid;
+    assign valid[6] = sink6_valid;
 
 
     // ------------------------------------------
@@ -141,6 +168,9 @@ module nios2_uc_mm_interconnect_0_rsp_mux
       lock[1] = sink1_data[60];
       lock[2] = sink2_data[60];
       lock[3] = sink3_data[60];
+      lock[4] = sink4_data[60];
+      lock[5] = sink5_data[60];
+      lock[6] = sink6_data[60];
     end
 
     assign last_cycle = src_valid & src_ready & src_endofpacket & ~(|(lock & grant));
@@ -175,10 +205,16 @@ module nios2_uc_mm_interconnect_0_rsp_mux
     // 1      |      1       |  0
     // 2      |      1       |  0
     // 3      |      1       |  0
+    // 4      |      1       |  0
+    // 5      |      1       |  0
+    // 6      |      1       |  0
      wire [SHARE_COUNTER_W - 1 : 0] share_0 = 1'd0;
      wire [SHARE_COUNTER_W - 1 : 0] share_1 = 1'd0;
      wire [SHARE_COUNTER_W - 1 : 0] share_2 = 1'd0;
      wire [SHARE_COUNTER_W - 1 : 0] share_3 = 1'd0;
+     wire [SHARE_COUNTER_W - 1 : 0] share_4 = 1'd0;
+     wire [SHARE_COUNTER_W - 1 : 0] share_5 = 1'd0;
+     wire [SHARE_COUNTER_W - 1 : 0] share_6 = 1'd0;
 
     // ------------------------------------------
     // Choose the share value corresponding to the grant.
@@ -189,7 +225,10 @@ module nios2_uc_mm_interconnect_0_rsp_mux
     share_0 & { SHARE_COUNTER_W {next_grant[0]} } |
     share_1 & { SHARE_COUNTER_W {next_grant[1]} } |
     share_2 & { SHARE_COUNTER_W {next_grant[2]} } |
-    share_3 & { SHARE_COUNTER_W {next_grant[3]} };
+    share_3 & { SHARE_COUNTER_W {next_grant[3]} } |
+    share_4 & { SHARE_COUNTER_W {next_grant[4]} } |
+    share_5 & { SHARE_COUNTER_W {next_grant[5]} } |
+    share_6 & { SHARE_COUNTER_W {next_grant[6]} };
     end
 
     // ------------------------------------------
@@ -259,11 +298,20 @@ module nios2_uc_mm_interconnect_0_rsp_mux
 
     wire final_packet_3 = 1'b1;
 
+    wire final_packet_4 = 1'b1;
+
+    wire final_packet_5 = 1'b1;
+
+    wire final_packet_6 = 1'b1;
+
 
     // ------------------------------------------
     // Concatenate all final_packet signals (wire or reg) into a handy vector.
     // ------------------------------------------
     wire [NUM_INPUTS - 1 : 0] final_packet = {
+    final_packet_6,
+    final_packet_5,
+    final_packet_4,
     final_packet_3,
     final_packet_2,
     final_packet_1,
@@ -355,6 +403,9 @@ module nios2_uc_mm_interconnect_0_rsp_mux
     assign sink1_ready = src_ready && grant[1];
     assign sink2_ready = src_ready && grant[2];
     assign sink3_ready = src_ready && grant[3];
+    assign sink4_ready = src_ready && grant[4];
+    assign sink5_ready = src_ready && grant[5];
+    assign sink6_ready = src_ready && grant[6];
 
     assign src_valid = |(grant & valid);
 
@@ -363,7 +414,10 @@ module nios2_uc_mm_interconnect_0_rsp_mux
       sink0_payload & {PAYLOAD_W {grant[0]} } |
       sink1_payload & {PAYLOAD_W {grant[1]} } |
       sink2_payload & {PAYLOAD_W {grant[2]} } |
-      sink3_payload & {PAYLOAD_W {grant[3]} };
+      sink3_payload & {PAYLOAD_W {grant[3]} } |
+      sink4_payload & {PAYLOAD_W {grant[4]} } |
+      sink5_payload & {PAYLOAD_W {grant[5]} } |
+      sink6_payload & {PAYLOAD_W {grant[6]} };
     end
 
     // ------------------------------------------
@@ -378,6 +432,12 @@ module nios2_uc_mm_interconnect_0_rsp_mux
     sink2_startofpacket,sink2_endofpacket};
     assign sink3_payload = {sink3_channel,sink3_data,
     sink3_startofpacket,sink3_endofpacket};
+    assign sink4_payload = {sink4_channel,sink4_data,
+    sink4_startofpacket,sink4_endofpacket};
+    assign sink5_payload = {sink5_channel,sink5_data,
+    sink5_startofpacket,sink5_endofpacket};
+    assign sink6_payload = {sink6_channel,sink6_data,
+    sink6_startofpacket,sink6_endofpacket};
 
     assign {src_channel,src_data,src_startofpacket,src_endofpacket} = src_payload;
 endmodule

+ 37 - 3
nios2_uc/synthesis/submodules/nios2_uc_nios2.v

@@ -3,7 +3,7 @@
 // This file was auto-generated from altera_nios2_hw.tcl.  If you edit it your changes
 // will probably be lost.
 // 
-// Generated using ACDS version 18.1 646
+// Generated using ACDS version 18.1 625
 
 `timescale 1 ps / 1 ps
 module nios2_uc_nios2 (
@@ -32,7 +32,24 @@ module nios2_uc_nios2 (
 		output wire        debug_mem_slave_waitrequest,         //                          .waitrequest
 		input  wire        debug_mem_slave_write,               //                          .write
 		input  wire [31:0] debug_mem_slave_writedata,           //                          .writedata
-		output wire        dummy_ci_port                        // custom_instruction_master.readra
+		input  wire        E_ci_multi_done,                     // custom_instruction_master.done
+		output wire        E_ci_multi_clk_en,                   //                          .clk_en
+		output wire        E_ci_multi_start,                    //                          .start
+		input  wire [31:0] E_ci_result,                         //                          .result
+		output wire [4:0]  D_ci_a,                              //                          .a
+		output wire [4:0]  D_ci_b,                              //                          .b
+		output wire [4:0]  D_ci_c,                              //                          .c
+		output wire [7:0]  D_ci_n,                              //                          .n
+		output wire        D_ci_readra,                         //                          .readra
+		output wire        D_ci_readrb,                         //                          .readrb
+		output wire        D_ci_writerc,                        //                          .writerc
+		output wire [31:0] E_ci_dataa,                          //                          .dataa
+		output wire [31:0] E_ci_datab,                          //                          .datab
+		output wire        E_ci_multi_clock,                    //                          .clk
+		output wire        E_ci_multi_reset,                    //                          .reset
+		output wire        E_ci_multi_reset_req,                //                          .reset_req
+		output wire        W_ci_estatus,                        //                          .estatus
+		output wire [31:0] W_ci_ipending                        //                          .ipending
 	);
 
 	nios2_uc_nios2_cpu cpu (
@@ -61,7 +78,24 @@ module nios2_uc_nios2 (
 		.debug_mem_slave_waitrequest         (debug_mem_slave_waitrequest),         //                          .waitrequest
 		.debug_mem_slave_write               (debug_mem_slave_write),               //                          .write
 		.debug_mem_slave_writedata           (debug_mem_slave_writedata),           //                          .writedata
-		.dummy_ci_port                       (dummy_ci_port)                        // custom_instruction_master.readra
+		.E_ci_multi_done                     (E_ci_multi_done),                     // custom_instruction_master.done
+		.E_ci_multi_clk_en                   (E_ci_multi_clk_en),                   //                          .clk_en
+		.E_ci_multi_start                    (E_ci_multi_start),                    //                          .start
+		.E_ci_result                         (E_ci_result),                         //                          .result
+		.D_ci_a                              (D_ci_a),                              //                          .a
+		.D_ci_b                              (D_ci_b),                              //                          .b
+		.D_ci_c                              (D_ci_c),                              //                          .c
+		.D_ci_n                              (D_ci_n),                              //                          .n
+		.D_ci_readra                         (D_ci_readra),                         //                          .readra
+		.D_ci_readrb                         (D_ci_readrb),                         //                          .readrb
+		.D_ci_writerc                        (D_ci_writerc),                        //                          .writerc
+		.E_ci_dataa                          (E_ci_dataa),                          //                          .dataa
+		.E_ci_datab                          (E_ci_datab),                          //                          .datab
+		.E_ci_multi_clock                    (E_ci_multi_clock),                    //                          .clk
+		.E_ci_multi_reset                    (E_ci_multi_reset),                    //                          .reset
+		.E_ci_multi_reset_req                (E_ci_multi_reset_req),                //                          .reset_req
+		.W_ci_estatus                        (W_ci_estatus),                        //                          .estatus
+		.W_ci_ipending                       (W_ci_ipending)                        //                          .ipending
 	);
 
 endmodule

+ 295 - 203
nios2_uc/synthesis/submodules/nios2_uc_nios2_cpu.v

@@ -2833,6 +2833,8 @@ endmodule
 
 module nios2_uc_nios2_cpu (
                             // inputs:
+                             E_ci_multi_done,
+                             E_ci_result,
                              clk,
                              d_readdata,
                              d_waitrequest,
@@ -2849,6 +2851,23 @@ module nios2_uc_nios2_cpu (
                              reset_req,
 
                             // outputs:
+                             D_ci_a,
+                             D_ci_b,
+                             D_ci_c,
+                             D_ci_n,
+                             D_ci_readra,
+                             D_ci_readrb,
+                             D_ci_writerc,
+                             E_ci_dataa,
+                             E_ci_datab,
+                             E_ci_multi_clk_en,
+                             E_ci_multi_clock,
+                             E_ci_multi_reset,
+                             E_ci_multi_reset_req,
+                             E_ci_multi_start,
+                             W_ci_estatus,
+                             W_ci_ipending,
+                             W_ci_status,
                              d_address,
                              d_byteenable,
                              d_read,
@@ -2858,12 +2877,28 @@ module nios2_uc_nios2_cpu (
                              debug_mem_slave_readdata,
                              debug_mem_slave_waitrequest,
                              debug_reset_request,
-                             dummy_ci_port,
                              i_address,
                              i_read
                           )
 ;
 
+  output  [  4: 0] D_ci_a;
+  output  [  4: 0] D_ci_b;
+  output  [  4: 0] D_ci_c;
+  output  [  7: 0] D_ci_n;
+  output           D_ci_readra;
+  output           D_ci_readrb;
+  output           D_ci_writerc;
+  output  [ 31: 0] E_ci_dataa;
+  output  [ 31: 0] E_ci_datab;
+  output           E_ci_multi_clk_en;
+  output           E_ci_multi_clock;
+  output           E_ci_multi_reset;
+  output           E_ci_multi_reset_req;
+  output           E_ci_multi_start;
+  output           W_ci_estatus;
+  output  [ 31: 0] W_ci_ipending;
+  output           W_ci_status;
   output  [ 19: 0] d_address;
   output  [  3: 0] d_byteenable;
   output           d_read;
@@ -2873,9 +2908,10 @@ module nios2_uc_nios2_cpu (
   output  [ 31: 0] debug_mem_slave_readdata;
   output           debug_mem_slave_waitrequest;
   output           debug_reset_request;
-  output           dummy_ci_port;
   output  [ 19: 0] i_address;
   output           i_read;
+  input            E_ci_multi_done;
+  input   [ 31: 0] E_ci_result;
   input            clk;
   input   [ 31: 0] d_readdata;
   input            d_waitrequest;
@@ -2893,6 +2929,13 @@ module nios2_uc_nios2_cpu (
 
 
 reg              A_valid_from_M /* synthesis ALTERA_IP_DEBUG_VISIBLE = 1 */;
+wire    [  4: 0] D_ci_a;
+wire    [  4: 0] D_ci_b;
+wire    [  4: 0] D_ci_c;
+wire    [  7: 0] D_ci_n;
+wire             D_ci_readra;
+wire             D_ci_readrb;
+wire             D_ci_writerc;
 wire    [  1: 0] D_compare_op;
 wire             D_ctrl_alu_force_and;
 wire             D_ctrl_alu_force_xor;
@@ -2942,7 +2985,7 @@ wire             D_ctrl_uncond_cti_non_br;
 wire             D_ctrl_unsigned_lo_imm16;
 wire             D_ctrl_wrctl_inst;
 wire    [  4: 0] D_dst_regnum;
-wire    [ 55: 0] D_inst;
+wire    [271: 0] D_inst;
 wire             D_is_opx_inst;
 reg     [ 31: 0] D_iw /* synthesis ALTERA_IP_DEBUG_VISIBLE = 1 */;
 wire    [  4: 0] D_iw_a;
@@ -3026,6 +3069,7 @@ wire             D_op_mulxss;
 wire             D_op_mulxsu;
 wire             D_op_mulxuu;
 wire             D_op_nextpc;
+wire             D_op_nios_custom_instr_floating_point_0;
 wire             D_op_nor;
 wire             D_op_op_rsv02;
 wire             D_op_op_rsv09;
@@ -3093,15 +3137,21 @@ wire             D_op_xor;
 wire             D_op_xorhi;
 wire             D_op_xori;
 reg              D_valid;
-wire    [ 71: 0] D_vinst;
+wire    [271: 0] D_vinst;
 wire             D_wr_dst_reg;
 wire    [ 31: 0] E_alu_result;
 reg              E_alu_sub;
 wire    [ 32: 0] E_arith_result;
 wire    [ 31: 0] E_arith_src1;
 wire    [ 31: 0] E_arith_src2;
+wire    [ 31: 0] E_ci_dataa;
+wire    [ 31: 0] E_ci_datab;
+reg              E_ci_multi_clk_en;
+wire             E_ci_multi_clock;
+wire             E_ci_multi_reset;
+wire             E_ci_multi_reset_req;
 wire             E_ci_multi_stall;
-wire    [ 31: 0] E_ci_result;
+reg              E_ci_multi_start;
 wire             E_cmp_result;
 wire    [ 31: 0] E_control_rd_data;
 wire             E_eq;
@@ -3131,7 +3181,7 @@ wire             E_st_stall;
 wire             E_stall;
 wire             E_valid;
 reg              E_valid_from_R;
-wire    [ 71: 0] E_vinst;
+wire    [271: 0] E_vinst;
 wire             E_wrctl_bstatus;
 wire             E_wrctl_estatus;
 wire             E_wrctl_ienable;
@@ -3154,7 +3204,7 @@ wire    [  5: 0] F_av_iw_opx;
 wire             F_av_mem16;
 wire             F_av_mem32;
 wire             F_av_mem8;
-wire    [ 55: 0] F_inst;
+wire    [271: 0] F_inst;
 wire             F_is_opx_inst;
 wire    [ 31: 0] F_iw;
 wire    [  4: 0] F_iw_a;
@@ -3235,6 +3285,7 @@ wire             F_op_mulxss;
 wire             F_op_mulxsu;
 wire             F_op_mulxuu;
 wire             F_op_nextpc;
+wire             F_op_nios_custom_instr_floating_point_0;
 wire             F_op_nor;
 wire             F_op_op_rsv02;
 wire             F_op_op_rsv09;
@@ -3311,7 +3362,7 @@ wire    [ 19: 0] F_pcb;
 wire    [ 19: 0] F_pcb_nxt;
 wire    [ 19: 0] F_pcb_plus_four;
 wire             F_valid;
-wire    [ 71: 0] F_vinst;
+wire    [271: 0] F_vinst;
 reg     [  1: 0] R_compare_op;
 reg              R_ctrl_alu_force_and;
 wire             R_ctrl_alu_force_and_nxt;
@@ -3423,7 +3474,7 @@ wire    [  7: 0] R_stb_data;
 wire    [ 15: 0] R_sth_data;
 wire    [ 31: 0] R_stw_data;
 reg              R_valid;
-wire    [ 71: 0] R_vinst;
+wire    [271: 0] R_vinst;
 reg              R_wr_dst_reg;
 reg              W1_rf_ecc_recoverable_valid;
 reg     [ 31: 0] W_alu_result;
@@ -3432,6 +3483,9 @@ reg              W_bstatus_reg;
 wire             W_bstatus_reg_inst_nxt;
 wire             W_bstatus_reg_nxt;
 reg     [ 31: 0] W_cdsr_reg;
+wire             W_ci_estatus;
+wire    [ 31: 0] W_ci_ipending;
+wire             W_ci_status;
 reg              W_cmp_result;
 reg     [ 31: 0] W_control_rd_data;
 wire    [ 31: 0] W_cpuid_reg;
@@ -3456,7 +3510,7 @@ wire             W_status_reg_pie_nxt;
 reg              W_up_ex_mon_state;
 reg              W_valid /* synthesis ALTERA_IP_DEBUG_VISIBLE = 1 */;
 wire             W_valid_from_M;
-wire    [ 71: 0] W_vinst;
+wire    [271: 0] W_vinst;
 wire    [ 31: 0] W_wr_data;
 wire    [ 31: 0] W_wr_data_non_zero;
 wire             av_fill_bit;
@@ -3496,7 +3550,6 @@ wire    [ 31: 0] debug_mem_slave_readdata;
 wire             debug_mem_slave_reset;
 wire             debug_mem_slave_waitrequest;
 wire             debug_reset_request;
-wire             dummy_ci_port;
 reg              hbreak_enabled;
 reg              hbreak_pending;
 wire             hbreak_pending_nxt;
@@ -3722,6 +3775,7 @@ reg              wait_for_one_post_bret_inst;
   assign F_op_intr = (F_iw_opx == 61) & F_is_opx_inst;
   assign F_op_crst = (F_iw_opx == 62) & F_is_opx_inst;
   assign F_op_opx_rsv63 = (F_iw_opx == 63) & F_is_opx_inst;
+  assign F_op_nios_custom_instr_floating_point_0 = F_op_custom & 1'b1;
   assign F_is_opx_inst = F_iw_op == 58;
   assign D_op_call = D_iw_op == 0;
   assign D_op_jmpi = D_iw_op == 1;
@@ -3850,12 +3904,25 @@ reg              wait_for_one_post_bret_inst;
   assign D_op_intr = (D_iw_opx == 61) & D_is_opx_inst;
   assign D_op_crst = (D_iw_opx == 62) & D_is_opx_inst;
   assign D_op_opx_rsv63 = (D_iw_opx == 63) & D_is_opx_inst;
+  assign D_op_nios_custom_instr_floating_point_0 = D_op_custom & 1'b1;
   assign D_is_opx_inst = D_iw_op == 58;
   assign R_en = 1'b1;
-  assign E_ci_result = 0;
+  assign E_ci_dataa = E_src1;
+  assign E_ci_datab = E_src2;
+  assign W_ci_ipending = W_ipending_reg;
+  assign W_ci_status = W_status_reg;
+  assign W_ci_estatus = W_estatus_reg;
+  assign D_ci_n = D_iw_custom_n;
+  assign D_ci_a = D_iw_a;
+  assign D_ci_b = D_iw_b;
+  assign D_ci_c = D_iw_c;
+  assign D_ci_readra = D_iw_custom_readra;
+  assign D_ci_readrb = D_iw_custom_readrb;
+  assign D_ci_writerc = D_iw_custom_writerc;
+  assign E_ci_multi_clock = clk;
+  assign E_ci_multi_reset = ~reset_n;
+  assign E_ci_multi_reset_req = reset_req;
   //custom_instruction_master, which is an e_custom_instruction_master
-  assign dummy_ci_port = 1'b0;
-  assign E_ci_multi_stall = 1'b0;
   assign iactive = irq[31 : 0] & 32'b00000000000000000000000000000001;
   assign F_pc_sel_nxt = (R_ctrl_exception | W_rf_ecc_unrecoverable_valid) ? 2'b00 :
     R_ctrl_break                              ? 2'b01 :
@@ -4156,6 +4223,29 @@ defparam nios2_uc_nios2_cpu_register_bank_b.lpm_file = "nios2_uc_nios2_cpu_rf_ra
 
   assign E_valid = E_valid_from_R & ~E_rf_ecc_valid_any;
   assign E_stall = (E_shift_rot_stall | E_ld_stall | E_st_stall | E_ci_multi_stall) & ~(E_rf_ecc_valid_any|W_rf_ecc_valid_any|W1_rf_ecc_recoverable_valid);
+  always @(posedge clk or negedge reset_n)
+    begin
+      if (reset_n == 0)
+          E_ci_multi_start <= 0;
+      else 
+        E_ci_multi_start <= E_ci_multi_start ? 1'b0 : 
+                (R_ctrl_custom_multi & R_valid);
+
+    end
+
+
+  always @(posedge clk or negedge reset_n)
+    begin
+      if (reset_n == 0)
+          E_ci_multi_clk_en <= 0;
+      else 
+        E_ci_multi_clk_en <= E_ci_multi_clk_en ? ~E_ci_multi_done : 
+                (R_ctrl_custom_multi & R_valid);
+
+    end
+
+
+  assign E_ci_multi_stall = R_ctrl_custom_multi & E_valid & ~E_ci_multi_done;
   assign E_arith_src1 = { E_src1[31] ^ E_invert_arith_src_msb, 
     E_src1[30 : 0]};
 
@@ -4577,7 +4667,7 @@ defparam nios2_uc_nios2_cpu_register_bank_b.lpm_file = "nios2_uc_nios2_cpu_rf_ra
   //debug_mem_slave, which is an e_avalon_slave
   assign debug_mem_slave_clk = clk;
   assign debug_mem_slave_reset = ~reset_n;
-  assign D_ctrl_custom = 1'b0;
+  assign D_ctrl_custom = D_op_nios_custom_instr_floating_point_0;
   assign R_ctrl_custom_nxt = D_ctrl_custom;
   always @(posedge clk or negedge reset_n)
     begin
@@ -4588,7 +4678,7 @@ defparam nios2_uc_nios2_cpu_register_bank_b.lpm_file = "nios2_uc_nios2_cpu_rf_ra
     end
 
 
-  assign D_ctrl_custom_multi = 1'b0;
+  assign D_ctrl_custom_multi = D_op_nios_custom_instr_floating_point_0;
   assign R_ctrl_custom_multi_nxt = D_ctrl_custom_multi;
   always @(posedge clk or negedge reset_n)
     begin
@@ -5226,7 +5316,7 @@ defparam nios2_uc_nios2_cpu_register_bank_b.lpm_file = "nios2_uc_nios2_cpu_rf_ra
     end
 
 
-  assign D_ctrl_b_is_dst = D_op_addi|
+  assign D_ctrl_b_is_dst = (D_op_addi|
     D_op_andhi|
     D_op_orhi|
     D_op_xorhi|
@@ -5254,7 +5344,7 @@ defparam nios2_uc_nios2_cpu_register_bank_b.lpm_file = "nios2_uc_nios2_cpu_rf_ra
     D_op_initd|
     D_op_initda|
     D_op_flushd|
-    D_op_flushda;
+    D_op_flushda) & ~D_op_custom;
 
   assign R_ctrl_b_is_dst_nxt = D_ctrl_b_is_dst;
   always @(posedge clk or negedge reset_n)
@@ -5266,7 +5356,7 @@ defparam nios2_uc_nios2_cpu_register_bank_b.lpm_file = "nios2_uc_nios2_cpu_rf_ra
     end
 
 
-  assign D_ctrl_ignore_dst = D_op_br|
+  assign D_ctrl_ignore_dst = (D_op_br|
     D_op_bge|
     D_op_blt|
     D_op_bne|
@@ -5279,7 +5369,7 @@ defparam nios2_uc_nios2_cpu_register_bank_b.lpm_file = "nios2_uc_nios2_cpu_rf_ra
     D_op_stbio|
     D_op_sthio|
     D_op_stwio|
-    D_op_jmpi;
+    D_op_jmpi) | (D_op_custom & ~D_iw_custom_writerc);
 
   assign R_ctrl_ignore_dst_nxt = D_ctrl_ignore_dst;
   always @(posedge clk or negedge reset_n)
@@ -5466,189 +5556,191 @@ defparam nios2_uc_nios2_cpu_register_bank_b.lpm_file = "nios2_uc_nios2_cpu_rf_ra
 
 //synthesis translate_off
 //////////////// SIMULATION-ONLY CONTENTS
-  assign F_inst = (F_op_call)? 56'h20202063616c6c :
-    (F_op_jmpi)? 56'h2020206a6d7069 :
-    (F_op_ldbu)? 56'h2020206c646275 :
-    (F_op_addi)? 56'h20202061646469 :
-    (F_op_stb)? 56'h20202020737462 :
-    (F_op_br)? 56'h20202020206272 :
-    (F_op_ldb)? 56'h202020206c6462 :
-    (F_op_cmpgei)? 56'h20636d70676569 :
-    (F_op_ldhu)? 56'h2020206c646875 :
-    (F_op_andi)? 56'h202020616e6469 :
-    (F_op_sth)? 56'h20202020737468 :
-    (F_op_bge)? 56'h20202020626765 :
-    (F_op_ldh)? 56'h202020206c6468 :
-    (F_op_cmplti)? 56'h20636d706c7469 :
-    (F_op_initda)? 56'h20696e69746461 :
-    (F_op_ori)? 56'h202020206f7269 :
-    (F_op_stw)? 56'h20202020737477 :
-    (F_op_blt)? 56'h20202020626c74 :
-    (F_op_ldw)? 56'h202020206c6477 :
-    (F_op_cmpnei)? 56'h20636d706e6569 :
-    (F_op_flushda)? 56'h666c7573686461 :
-    (F_op_xori)? 56'h202020786f7269 :
-    (F_op_bne)? 56'h20202020626e65 :
-    (F_op_cmpeqi)? 56'h20636d70657169 :
-    (F_op_ldbuio)? 56'h206c646275696f :
-    (F_op_muli)? 56'h2020206d756c69 :
-    (F_op_stbio)? 56'h2020737462696f :
-    (F_op_beq)? 56'h20202020626571 :
-    (F_op_ldbio)? 56'h20206c6462696f :
-    (F_op_cmpgeui)? 56'h636d7067657569 :
-    (F_op_ldhuio)? 56'h206c646875696f :
-    (F_op_andhi)? 56'h2020616e646869 :
-    (F_op_sthio)? 56'h2020737468696f :
-    (F_op_bgeu)? 56'h20202062676575 :
-    (F_op_ldhio)? 56'h20206c6468696f :
-    (F_op_cmpltui)? 56'h636d706c747569 :
-    (F_op_custom)? 56'h20637573746f6d :
-    (F_op_initd)? 56'h2020696e697464 :
-    (F_op_orhi)? 56'h2020206f726869 :
-    (F_op_stwio)? 56'h2020737477696f :
-    (F_op_bltu)? 56'h202020626c7475 :
-    (F_op_ldwio)? 56'h20206c6477696f :
-    (F_op_flushd)? 56'h20666c75736864 :
-    (F_op_xorhi)? 56'h2020786f726869 :
-    (F_op_eret)? 56'h20202065726574 :
-    (F_op_roli)? 56'h202020726f6c69 :
-    (F_op_rol)? 56'h20202020726f6c :
-    (F_op_flushp)? 56'h20666c75736870 :
-    (F_op_ret)? 56'h20202020726574 :
-    (F_op_nor)? 56'h202020206e6f72 :
-    (F_op_mulxuu)? 56'h206d756c787575 :
-    (F_op_cmpge)? 56'h2020636d706765 :
-    (F_op_bret)? 56'h20202062726574 :
-    (F_op_ror)? 56'h20202020726f72 :
-    (F_op_flushi)? 56'h20666c75736869 :
-    (F_op_jmp)? 56'h202020206a6d70 :
-    (F_op_and)? 56'h20202020616e64 :
-    (F_op_cmplt)? 56'h2020636d706c74 :
-    (F_op_slli)? 56'h202020736c6c69 :
-    (F_op_sll)? 56'h20202020736c6c :
-    (F_op_or)? 56'h20202020206f72 :
-    (F_op_mulxsu)? 56'h206d756c787375 :
-    (F_op_cmpne)? 56'h2020636d706e65 :
-    (F_op_srli)? 56'h20202073726c69 :
-    (F_op_srl)? 56'h2020202073726c :
-    (F_op_nextpc)? 56'h206e6578747063 :
-    (F_op_callr)? 56'h202063616c6c72 :
-    (F_op_xor)? 56'h20202020786f72 :
-    (F_op_mulxss)? 56'h206d756c787373 :
-    (F_op_cmpeq)? 56'h2020636d706571 :
-    (F_op_divu)? 56'h20202064697675 :
-    (F_op_div)? 56'h20202020646976 :
-    (F_op_rdctl)? 56'h2020726463746c :
-    (F_op_mul)? 56'h202020206d756c :
-    (F_op_cmpgeu)? 56'h20636d70676575 :
-    (F_op_initi)? 56'h2020696e697469 :
-    (F_op_trap)? 56'h20202074726170 :
-    (F_op_wrctl)? 56'h2020777263746c :
-    (F_op_cmpltu)? 56'h20636d706c7475 :
-    (F_op_add)? 56'h20202020616464 :
-    (F_op_break)? 56'h2020627265616b :
-    (F_op_hbreak)? 56'h2068627265616b :
-    (F_op_sync)? 56'h20202073796e63 :
-    (F_op_sub)? 56'h20202020737562 :
-    (F_op_srai)? 56'h20202073726169 :
-    (F_op_sra)? 56'h20202020737261 :
-    (F_op_intr)? 56'h202020696e7472 :
-    56'h20202020424144;
-
-  assign D_inst = (D_op_call)? 56'h20202063616c6c :
-    (D_op_jmpi)? 56'h2020206a6d7069 :
-    (D_op_ldbu)? 56'h2020206c646275 :
-    (D_op_addi)? 56'h20202061646469 :
-    (D_op_stb)? 56'h20202020737462 :
-    (D_op_br)? 56'h20202020206272 :
-    (D_op_ldb)? 56'h202020206c6462 :
-    (D_op_cmpgei)? 56'h20636d70676569 :
-    (D_op_ldhu)? 56'h2020206c646875 :
-    (D_op_andi)? 56'h202020616e6469 :
-    (D_op_sth)? 56'h20202020737468 :
-    (D_op_bge)? 56'h20202020626765 :
-    (D_op_ldh)? 56'h202020206c6468 :
-    (D_op_cmplti)? 56'h20636d706c7469 :
-    (D_op_initda)? 56'h20696e69746461 :
-    (D_op_ori)? 56'h202020206f7269 :
-    (D_op_stw)? 56'h20202020737477 :
-    (D_op_blt)? 56'h20202020626c74 :
-    (D_op_ldw)? 56'h202020206c6477 :
-    (D_op_cmpnei)? 56'h20636d706e6569 :
-    (D_op_flushda)? 56'h666c7573686461 :
-    (D_op_xori)? 56'h202020786f7269 :
-    (D_op_bne)? 56'h20202020626e65 :
-    (D_op_cmpeqi)? 56'h20636d70657169 :
-    (D_op_ldbuio)? 56'h206c646275696f :
-    (D_op_muli)? 56'h2020206d756c69 :
-    (D_op_stbio)? 56'h2020737462696f :
-    (D_op_beq)? 56'h20202020626571 :
-    (D_op_ldbio)? 56'h20206c6462696f :
-    (D_op_cmpgeui)? 56'h636d7067657569 :
-    (D_op_ldhuio)? 56'h206c646875696f :
-    (D_op_andhi)? 56'h2020616e646869 :
-    (D_op_sthio)? 56'h2020737468696f :
-    (D_op_bgeu)? 56'h20202062676575 :
-    (D_op_ldhio)? 56'h20206c6468696f :
-    (D_op_cmpltui)? 56'h636d706c747569 :
-    (D_op_custom)? 56'h20637573746f6d :
-    (D_op_initd)? 56'h2020696e697464 :
-    (D_op_orhi)? 56'h2020206f726869 :
-    (D_op_stwio)? 56'h2020737477696f :
-    (D_op_bltu)? 56'h202020626c7475 :
-    (D_op_ldwio)? 56'h20206c6477696f :
-    (D_op_flushd)? 56'h20666c75736864 :
-    (D_op_xorhi)? 56'h2020786f726869 :
-    (D_op_eret)? 56'h20202065726574 :
-    (D_op_roli)? 56'h202020726f6c69 :
-    (D_op_rol)? 56'h20202020726f6c :
-    (D_op_flushp)? 56'h20666c75736870 :
-    (D_op_ret)? 56'h20202020726574 :
-    (D_op_nor)? 56'h202020206e6f72 :
-    (D_op_mulxuu)? 56'h206d756c787575 :
-    (D_op_cmpge)? 56'h2020636d706765 :
-    (D_op_bret)? 56'h20202062726574 :
-    (D_op_ror)? 56'h20202020726f72 :
-    (D_op_flushi)? 56'h20666c75736869 :
-    (D_op_jmp)? 56'h202020206a6d70 :
-    (D_op_and)? 56'h20202020616e64 :
-    (D_op_cmplt)? 56'h2020636d706c74 :
-    (D_op_slli)? 56'h202020736c6c69 :
-    (D_op_sll)? 56'h20202020736c6c :
-    (D_op_or)? 56'h20202020206f72 :
-    (D_op_mulxsu)? 56'h206d756c787375 :
-    (D_op_cmpne)? 56'h2020636d706e65 :
-    (D_op_srli)? 56'h20202073726c69 :
-    (D_op_srl)? 56'h2020202073726c :
-    (D_op_nextpc)? 56'h206e6578747063 :
-    (D_op_callr)? 56'h202063616c6c72 :
-    (D_op_xor)? 56'h20202020786f72 :
-    (D_op_mulxss)? 56'h206d756c787373 :
-    (D_op_cmpeq)? 56'h2020636d706571 :
-    (D_op_divu)? 56'h20202064697675 :
-    (D_op_div)? 56'h20202020646976 :
-    (D_op_rdctl)? 56'h2020726463746c :
-    (D_op_mul)? 56'h202020206d756c :
-    (D_op_cmpgeu)? 56'h20636d70676575 :
-    (D_op_initi)? 56'h2020696e697469 :
-    (D_op_trap)? 56'h20202074726170 :
-    (D_op_wrctl)? 56'h2020777263746c :
-    (D_op_cmpltu)? 56'h20636d706c7475 :
-    (D_op_add)? 56'h20202020616464 :
-    (D_op_break)? 56'h2020627265616b :
-    (D_op_hbreak)? 56'h2068627265616b :
-    (D_op_sync)? 56'h20202073796e63 :
-    (D_op_sub)? 56'h20202020737562 :
-    (D_op_srai)? 56'h20202073726169 :
-    (D_op_sra)? 56'h20202020737261 :
-    (D_op_intr)? 56'h202020696e7472 :
-    56'h20202020424144;
-
-  assign F_vinst = F_valid ? F_inst : {9{8'h2d}};
-  assign D_vinst = D_valid ? D_inst : {9{8'h2d}};
-  assign R_vinst = R_valid ? D_inst : {9{8'h2d}};
-  assign E_vinst = E_valid ? D_inst : {9{8'h2d}};
-  assign W_vinst = W_valid ? D_inst : {9{8'h2d}};
+  assign F_inst = (F_op_call)? 272'h20202020202020202020202020202020202020202020202020202020202063616c6c :
+    (F_op_jmpi)? 272'h2020202020202020202020202020202020202020202020202020202020206a6d7069 :
+    (F_op_ldbu)? 272'h2020202020202020202020202020202020202020202020202020202020206c646275 :
+    (F_op_addi)? 272'h20202020202020202020202020202020202020202020202020202020202061646469 :
+    (F_op_stb)? 272'h20202020202020202020202020202020202020202020202020202020202020737462 :
+    (F_op_br)? 272'h20202020202020202020202020202020202020202020202020202020202020206272 :
+    (F_op_ldb)? 272'h202020202020202020202020202020202020202020202020202020202020206c6462 :
+    (F_op_cmpgei)? 272'h20202020202020202020202020202020202020202020202020202020636d70676569 :
+    (F_op_ldhu)? 272'h2020202020202020202020202020202020202020202020202020202020206c646875 :
+    (F_op_andi)? 272'h202020202020202020202020202020202020202020202020202020202020616e6469 :
+    (F_op_sth)? 272'h20202020202020202020202020202020202020202020202020202020202020737468 :
+    (F_op_bge)? 272'h20202020202020202020202020202020202020202020202020202020202020626765 :
+    (F_op_ldh)? 272'h202020202020202020202020202020202020202020202020202020202020206c6468 :
+    (F_op_cmplti)? 272'h20202020202020202020202020202020202020202020202020202020636d706c7469 :
+    (F_op_initda)? 272'h20202020202020202020202020202020202020202020202020202020696e69746461 :
+    (F_op_ori)? 272'h202020202020202020202020202020202020202020202020202020202020206f7269 :
+    (F_op_stw)? 272'h20202020202020202020202020202020202020202020202020202020202020737477 :
+    (F_op_blt)? 272'h20202020202020202020202020202020202020202020202020202020202020626c74 :
+    (F_op_ldw)? 272'h202020202020202020202020202020202020202020202020202020202020206c6477 :
+    (F_op_cmpnei)? 272'h20202020202020202020202020202020202020202020202020202020636d706e6569 :
+    (F_op_flushda)? 272'h202020202020202020202020202020202020202020202020202020666c7573686461 :
+    (F_op_xori)? 272'h202020202020202020202020202020202020202020202020202020202020786f7269 :
+    (F_op_bne)? 272'h20202020202020202020202020202020202020202020202020202020202020626e65 :
+    (F_op_cmpeqi)? 272'h20202020202020202020202020202020202020202020202020202020636d70657169 :
+    (F_op_ldbuio)? 272'h202020202020202020202020202020202020202020202020202020206c646275696f :
+    (F_op_muli)? 272'h2020202020202020202020202020202020202020202020202020202020206d756c69 :
+    (F_op_stbio)? 272'h2020202020202020202020202020202020202020202020202020202020737462696f :
+    (F_op_beq)? 272'h20202020202020202020202020202020202020202020202020202020202020626571 :
+    (F_op_ldbio)? 272'h20202020202020202020202020202020202020202020202020202020206c6462696f :
+    (F_op_cmpgeui)? 272'h202020202020202020202020202020202020202020202020202020636d7067657569 :
+    (F_op_ldhuio)? 272'h202020202020202020202020202020202020202020202020202020206c646875696f :
+    (F_op_andhi)? 272'h2020202020202020202020202020202020202020202020202020202020616e646869 :
+    (F_op_sthio)? 272'h2020202020202020202020202020202020202020202020202020202020737468696f :
+    (F_op_bgeu)? 272'h20202020202020202020202020202020202020202020202020202020202062676575 :
+    (F_op_ldhio)? 272'h20202020202020202020202020202020202020202020202020202020206c6468696f :
+    (F_op_cmpltui)? 272'h202020202020202020202020202020202020202020202020202020636d706c747569 :
+    (F_op_custom)? 272'h20202020202020202020202020202020202020202020202020202020637573746f6d :
+    (F_op_initd)? 272'h2020202020202020202020202020202020202020202020202020202020696e697464 :
+    (F_op_orhi)? 272'h2020202020202020202020202020202020202020202020202020202020206f726869 :
+    (F_op_stwio)? 272'h2020202020202020202020202020202020202020202020202020202020737477696f :
+    (F_op_bltu)? 272'h202020202020202020202020202020202020202020202020202020202020626c7475 :
+    (F_op_ldwio)? 272'h20202020202020202020202020202020202020202020202020202020206c6477696f :
+    (F_op_flushd)? 272'h20202020202020202020202020202020202020202020202020202020666c75736864 :
+    (F_op_xorhi)? 272'h2020202020202020202020202020202020202020202020202020202020786f726869 :
+    (F_op_eret)? 272'h20202020202020202020202020202020202020202020202020202020202065726574 :
+    (F_op_roli)? 272'h202020202020202020202020202020202020202020202020202020202020726f6c69 :
+    (F_op_rol)? 272'h20202020202020202020202020202020202020202020202020202020202020726f6c :
+    (F_op_flushp)? 272'h20202020202020202020202020202020202020202020202020202020666c75736870 :
+    (F_op_ret)? 272'h20202020202020202020202020202020202020202020202020202020202020726574 :
+    (F_op_nor)? 272'h202020202020202020202020202020202020202020202020202020202020206e6f72 :
+    (F_op_mulxuu)? 272'h202020202020202020202020202020202020202020202020202020206d756c787575 :
+    (F_op_cmpge)? 272'h2020202020202020202020202020202020202020202020202020202020636d706765 :
+    (F_op_bret)? 272'h20202020202020202020202020202020202020202020202020202020202062726574 :
+    (F_op_ror)? 272'h20202020202020202020202020202020202020202020202020202020202020726f72 :
+    (F_op_flushi)? 272'h20202020202020202020202020202020202020202020202020202020666c75736869 :
+    (F_op_jmp)? 272'h202020202020202020202020202020202020202020202020202020202020206a6d70 :
+    (F_op_and)? 272'h20202020202020202020202020202020202020202020202020202020202020616e64 :
+    (F_op_cmplt)? 272'h2020202020202020202020202020202020202020202020202020202020636d706c74 :
+    (F_op_slli)? 272'h202020202020202020202020202020202020202020202020202020202020736c6c69 :
+    (F_op_sll)? 272'h20202020202020202020202020202020202020202020202020202020202020736c6c :
+    (F_op_or)? 272'h20202020202020202020202020202020202020202020202020202020202020206f72 :
+    (F_op_mulxsu)? 272'h202020202020202020202020202020202020202020202020202020206d756c787375 :
+    (F_op_cmpne)? 272'h2020202020202020202020202020202020202020202020202020202020636d706e65 :
+    (F_op_srli)? 272'h20202020202020202020202020202020202020202020202020202020202073726c69 :
+    (F_op_srl)? 272'h2020202020202020202020202020202020202020202020202020202020202073726c :
+    (F_op_nextpc)? 272'h202020202020202020202020202020202020202020202020202020206e6578747063 :
+    (F_op_callr)? 272'h202020202020202020202020202020202020202020202020202020202063616c6c72 :
+    (F_op_xor)? 272'h20202020202020202020202020202020202020202020202020202020202020786f72 :
+    (F_op_mulxss)? 272'h202020202020202020202020202020202020202020202020202020206d756c787373 :
+    (F_op_cmpeq)? 272'h2020202020202020202020202020202020202020202020202020202020636d706571 :
+    (F_op_divu)? 272'h20202020202020202020202020202020202020202020202020202020202064697675 :
+    (F_op_div)? 272'h20202020202020202020202020202020202020202020202020202020202020646976 :
+    (F_op_rdctl)? 272'h2020202020202020202020202020202020202020202020202020202020726463746c :
+    (F_op_mul)? 272'h202020202020202020202020202020202020202020202020202020202020206d756c :
+    (F_op_cmpgeu)? 272'h20202020202020202020202020202020202020202020202020202020636d70676575 :
+    (F_op_initi)? 272'h2020202020202020202020202020202020202020202020202020202020696e697469 :
+    (F_op_trap)? 272'h20202020202020202020202020202020202020202020202020202020202074726170 :
+    (F_op_wrctl)? 272'h2020202020202020202020202020202020202020202020202020202020777263746c :
+    (F_op_cmpltu)? 272'h20202020202020202020202020202020202020202020202020202020636d706c7475 :
+    (F_op_add)? 272'h20202020202020202020202020202020202020202020202020202020202020616464 :
+    (F_op_break)? 272'h2020202020202020202020202020202020202020202020202020202020627265616b :
+    (F_op_hbreak)? 272'h2020202020202020202020202020202020202020202020202020202068627265616b :
+    (F_op_sync)? 272'h20202020202020202020202020202020202020202020202020202020202073796e63 :
+    (F_op_sub)? 272'h20202020202020202020202020202020202020202020202020202020202020737562 :
+    (F_op_srai)? 272'h20202020202020202020202020202020202020202020202020202020202073726169 :
+    (F_op_sra)? 272'h20202020202020202020202020202020202020202020202020202020202020737261 :
+    (F_op_intr)? 272'h202020202020202020202020202020202020202020202020202020202020696e7472 :
+    (F_op_nios_custom_instr_floating_point_0)? 272'h6e696f735f637573746f6d5f696e7374725f666c6f6174696e675f706f696e745f30 :
+    272'h20202020202020202020202020202020202020202020202020202020202020424144;
+
+  assign D_inst = (D_op_call)? 272'h20202020202020202020202020202020202020202020202020202020202063616c6c :
+    (D_op_jmpi)? 272'h2020202020202020202020202020202020202020202020202020202020206a6d7069 :
+    (D_op_ldbu)? 272'h2020202020202020202020202020202020202020202020202020202020206c646275 :
+    (D_op_addi)? 272'h20202020202020202020202020202020202020202020202020202020202061646469 :
+    (D_op_stb)? 272'h20202020202020202020202020202020202020202020202020202020202020737462 :
+    (D_op_br)? 272'h20202020202020202020202020202020202020202020202020202020202020206272 :
+    (D_op_ldb)? 272'h202020202020202020202020202020202020202020202020202020202020206c6462 :
+    (D_op_cmpgei)? 272'h20202020202020202020202020202020202020202020202020202020636d70676569 :
+    (D_op_ldhu)? 272'h2020202020202020202020202020202020202020202020202020202020206c646875 :
+    (D_op_andi)? 272'h202020202020202020202020202020202020202020202020202020202020616e6469 :
+    (D_op_sth)? 272'h20202020202020202020202020202020202020202020202020202020202020737468 :
+    (D_op_bge)? 272'h20202020202020202020202020202020202020202020202020202020202020626765 :
+    (D_op_ldh)? 272'h202020202020202020202020202020202020202020202020202020202020206c6468 :
+    (D_op_cmplti)? 272'h20202020202020202020202020202020202020202020202020202020636d706c7469 :
+    (D_op_initda)? 272'h20202020202020202020202020202020202020202020202020202020696e69746461 :
+    (D_op_ori)? 272'h202020202020202020202020202020202020202020202020202020202020206f7269 :
+    (D_op_stw)? 272'h20202020202020202020202020202020202020202020202020202020202020737477 :
+    (D_op_blt)? 272'h20202020202020202020202020202020202020202020202020202020202020626c74 :
+    (D_op_ldw)? 272'h202020202020202020202020202020202020202020202020202020202020206c6477 :
+    (D_op_cmpnei)? 272'h20202020202020202020202020202020202020202020202020202020636d706e6569 :
+    (D_op_flushda)? 272'h202020202020202020202020202020202020202020202020202020666c7573686461 :
+    (D_op_xori)? 272'h202020202020202020202020202020202020202020202020202020202020786f7269 :
+    (D_op_bne)? 272'h20202020202020202020202020202020202020202020202020202020202020626e65 :
+    (D_op_cmpeqi)? 272'h20202020202020202020202020202020202020202020202020202020636d70657169 :
+    (D_op_ldbuio)? 272'h202020202020202020202020202020202020202020202020202020206c646275696f :
+    (D_op_muli)? 272'h2020202020202020202020202020202020202020202020202020202020206d756c69 :
+    (D_op_stbio)? 272'h2020202020202020202020202020202020202020202020202020202020737462696f :
+    (D_op_beq)? 272'h20202020202020202020202020202020202020202020202020202020202020626571 :
+    (D_op_ldbio)? 272'h20202020202020202020202020202020202020202020202020202020206c6462696f :
+    (D_op_cmpgeui)? 272'h202020202020202020202020202020202020202020202020202020636d7067657569 :
+    (D_op_ldhuio)? 272'h202020202020202020202020202020202020202020202020202020206c646875696f :
+    (D_op_andhi)? 272'h2020202020202020202020202020202020202020202020202020202020616e646869 :
+    (D_op_sthio)? 272'h2020202020202020202020202020202020202020202020202020202020737468696f :
+    (D_op_bgeu)? 272'h20202020202020202020202020202020202020202020202020202020202062676575 :
+    (D_op_ldhio)? 272'h20202020202020202020202020202020202020202020202020202020206c6468696f :
+    (D_op_cmpltui)? 272'h202020202020202020202020202020202020202020202020202020636d706c747569 :
+    (D_op_custom)? 272'h20202020202020202020202020202020202020202020202020202020637573746f6d :
+    (D_op_initd)? 272'h2020202020202020202020202020202020202020202020202020202020696e697464 :
+    (D_op_orhi)? 272'h2020202020202020202020202020202020202020202020202020202020206f726869 :
+    (D_op_stwio)? 272'h2020202020202020202020202020202020202020202020202020202020737477696f :
+    (D_op_bltu)? 272'h202020202020202020202020202020202020202020202020202020202020626c7475 :
+    (D_op_ldwio)? 272'h20202020202020202020202020202020202020202020202020202020206c6477696f :
+    (D_op_flushd)? 272'h20202020202020202020202020202020202020202020202020202020666c75736864 :
+    (D_op_xorhi)? 272'h2020202020202020202020202020202020202020202020202020202020786f726869 :
+    (D_op_eret)? 272'h20202020202020202020202020202020202020202020202020202020202065726574 :
+    (D_op_roli)? 272'h202020202020202020202020202020202020202020202020202020202020726f6c69 :
+    (D_op_rol)? 272'h20202020202020202020202020202020202020202020202020202020202020726f6c :
+    (D_op_flushp)? 272'h20202020202020202020202020202020202020202020202020202020666c75736870 :
+    (D_op_ret)? 272'h20202020202020202020202020202020202020202020202020202020202020726574 :
+    (D_op_nor)? 272'h202020202020202020202020202020202020202020202020202020202020206e6f72 :
+    (D_op_mulxuu)? 272'h202020202020202020202020202020202020202020202020202020206d756c787575 :
+    (D_op_cmpge)? 272'h2020202020202020202020202020202020202020202020202020202020636d706765 :
+    (D_op_bret)? 272'h20202020202020202020202020202020202020202020202020202020202062726574 :
+    (D_op_ror)? 272'h20202020202020202020202020202020202020202020202020202020202020726f72 :
+    (D_op_flushi)? 272'h20202020202020202020202020202020202020202020202020202020666c75736869 :
+    (D_op_jmp)? 272'h202020202020202020202020202020202020202020202020202020202020206a6d70 :
+    (D_op_and)? 272'h20202020202020202020202020202020202020202020202020202020202020616e64 :
+    (D_op_cmplt)? 272'h2020202020202020202020202020202020202020202020202020202020636d706c74 :
+    (D_op_slli)? 272'h202020202020202020202020202020202020202020202020202020202020736c6c69 :
+    (D_op_sll)? 272'h20202020202020202020202020202020202020202020202020202020202020736c6c :
+    (D_op_or)? 272'h20202020202020202020202020202020202020202020202020202020202020206f72 :
+    (D_op_mulxsu)? 272'h202020202020202020202020202020202020202020202020202020206d756c787375 :
+    (D_op_cmpne)? 272'h2020202020202020202020202020202020202020202020202020202020636d706e65 :
+    (D_op_srli)? 272'h20202020202020202020202020202020202020202020202020202020202073726c69 :
+    (D_op_srl)? 272'h2020202020202020202020202020202020202020202020202020202020202073726c :
+    (D_op_nextpc)? 272'h202020202020202020202020202020202020202020202020202020206e6578747063 :
+    (D_op_callr)? 272'h202020202020202020202020202020202020202020202020202020202063616c6c72 :
+    (D_op_xor)? 272'h20202020202020202020202020202020202020202020202020202020202020786f72 :
+    (D_op_mulxss)? 272'h202020202020202020202020202020202020202020202020202020206d756c787373 :
+    (D_op_cmpeq)? 272'h2020202020202020202020202020202020202020202020202020202020636d706571 :
+    (D_op_divu)? 272'h20202020202020202020202020202020202020202020202020202020202064697675 :
+    (D_op_div)? 272'h20202020202020202020202020202020202020202020202020202020202020646976 :
+    (D_op_rdctl)? 272'h2020202020202020202020202020202020202020202020202020202020726463746c :
+    (D_op_mul)? 272'h202020202020202020202020202020202020202020202020202020202020206d756c :
+    (D_op_cmpgeu)? 272'h20202020202020202020202020202020202020202020202020202020636d70676575 :
+    (D_op_initi)? 272'h2020202020202020202020202020202020202020202020202020202020696e697469 :
+    (D_op_trap)? 272'h20202020202020202020202020202020202020202020202020202020202074726170 :
+    (D_op_wrctl)? 272'h2020202020202020202020202020202020202020202020202020202020777263746c :
+    (D_op_cmpltu)? 272'h20202020202020202020202020202020202020202020202020202020636d706c7475 :
+    (D_op_add)? 272'h20202020202020202020202020202020202020202020202020202020202020616464 :
+    (D_op_break)? 272'h2020202020202020202020202020202020202020202020202020202020627265616b :
+    (D_op_hbreak)? 272'h2020202020202020202020202020202020202020202020202020202068627265616b :
+    (D_op_sync)? 272'h20202020202020202020202020202020202020202020202020202020202073796e63 :
+    (D_op_sub)? 272'h20202020202020202020202020202020202020202020202020202020202020737562 :
+    (D_op_srai)? 272'h20202020202020202020202020202020202020202020202020202020202073726169 :
+    (D_op_sra)? 272'h20202020202020202020202020202020202020202020202020202020202020737261 :
+    (D_op_intr)? 272'h202020202020202020202020202020202020202020202020202020202020696e7472 :
+    (D_op_nios_custom_instr_floating_point_0)? 272'h6e696f735f637573746f6d5f696e7374725f666c6f6174696e675f706f696e745f30 :
+    272'h20202020202020202020202020202020202020202020202020202020202020424144;
+
+  assign F_vinst = F_valid ? F_inst : {34{8'h2d}};
+  assign D_vinst = D_valid ? D_inst : {34{8'h2d}};
+  assign R_vinst = R_valid ? D_inst : {34{8'h2d}};
+  assign E_vinst = E_valid ? D_inst : {34{8'h2d}};
+  assign W_vinst = W_valid ? D_inst : {34{8'h2d}};
 
 //////////////// END SIMULATION-ONLY CONTENTS
 

+ 3 - 1
nios2_uc/synthesis/submodules/nios2_uc_nios2_cpu_test_bench.v

@@ -66,7 +66,7 @@ module nios2_uc_nios2_cpu_test_bench (
   input   [  4: 0] R_dst_regnum;
   input            R_wr_dst_reg;
   input            W_valid;
-  input   [ 71: 0] W_vinst;
+  input   [271: 0] W_vinst;
   input   [ 31: 0] W_wr_data;
   input   [ 31: 0] av_ld_data_aligned_unfiltered;
   input            clk;
@@ -143,6 +143,7 @@ wire             D_op_mulxss;
 wire             D_op_mulxsu;
 wire             D_op_mulxuu;
 wire             D_op_nextpc;
+wire             D_op_nios_custom_instr_floating_point_0;
 wire             D_op_nor;
 wire             D_op_op_rsv02;
 wire             D_op_op_rsv09;
@@ -370,6 +371,7 @@ wire             test_has_ended;
   assign D_op_intr = (D_iw_opx == 61) & D_is_opx_inst;
   assign D_op_crst = (D_iw_opx == 62) & D_is_opx_inst;
   assign D_op_opx_rsv63 = (D_iw_opx == 63) & D_is_opx_inst;
+  assign D_op_nios_custom_instr_floating_point_0 = D_op_custom & 1'b1;
   assign D_is_opx_inst = D_iw_op == 58;
   assign test_has_ended = 1'b0;
 

+ 118 - 0
nios2_uc/synthesis/submodules/nios2_uc_nios2_custom_instruction_master_multi_xconnect.sv

@@ -0,0 +1,118 @@
+// (C) 2001-2018 Intel Corporation. All rights reserved.
+// Your use of Intel Corporation's design tools, logic functions and other 
+// software and tools, and its AMPP partner logic functions, and any output 
+// files from any of the foregoing (including device programming or simulation 
+// files), and any associated documentation or information are expressly subject 
+// to the terms and conditions of the Intel Program License Subscription 
+// Agreement, Intel FPGA IP License Agreement, or other applicable 
+// license agreement, including, without limitation, that your use is for the 
+// sole purpose of programming logic devices manufactured by Intel and sold by 
+// Intel or its authorized distributors.  Please refer to the applicable 
+// agreement for further details.
+
+
+// $Id: //acds/rel/18.1std/ip/merlin/altera_customins_xconnect/altera_customins_xconnect.sv.terp#1 $
+// $Revision: #1 $
+// $Date: 2018/07/18 $
+// $Author: psgswbuild $
+
+// -------------------------------------------------------
+// Custom Instruction Interconnect
+//
+// -------------------------------------------------------
+
+`timescale 1 ns / 1 ns
+
+
+module nios2_uc_nios2_custom_instruction_master_multi_xconnect
+(
+    // -------------------
+    // Custom instruction masters
+    // -------------------
+    output [31 : 0] ci_master0_dataa,
+    output [31 : 0] ci_master0_datab,
+    input  [31 : 0] ci_master0_result,
+    output [ 7 : 0] ci_master0_n,
+    output          ci_master0_readra,
+    output          ci_master0_readrb,
+    output          ci_master0_writerc,
+    output [ 4 : 0] ci_master0_a,
+    output [ 4 : 0] ci_master0_b,
+    output [ 4 : 0] ci_master0_c,
+    output [31 : 0] ci_master0_ipending,
+    output          ci_master0_estatus,
+    output          ci_master0_clk,   
+    output          ci_master0_clken,
+    output          ci_master0_reset, 
+    output          ci_master0_reset_req,
+    output          ci_master0_start,
+    input           ci_master0_done,
+
+
+    // -------------------
+    // Custom instruction slave
+    // -------------------
+    input           ci_slave_clk,   
+    input           ci_slave_clken,
+    input           ci_slave_reset, 
+    input           ci_slave_reset_req,
+    input           ci_slave_start,
+    output          ci_slave_done,
+    input  [31 : 0] ci_slave_dataa,
+    input  [31 : 0] ci_slave_datab,
+    output [31 : 0] ci_slave_result,
+    input  [ 7 : 0] ci_slave_n,
+    input           ci_slave_readra,
+    input           ci_slave_readrb,
+    input           ci_slave_writerc,
+    input  [ 4 : 0] ci_slave_a,
+    input  [ 4 : 0] ci_slave_b,
+    input  [ 4 : 0] ci_slave_c,
+    input  [31 : 0] ci_slave_ipending,
+    input           ci_slave_estatus
+
+);
+
+    wire select0;
+
+    // -------------------------------------------------------
+    // Wire non-control signals through to each master
+    // -------------------------------------------------------
+    assign  ci_master0_dataa    = ci_slave_dataa;
+    assign  ci_master0_datab    = ci_slave_datab;
+    assign  ci_master0_n        = ci_slave_n;
+    assign  ci_master0_a        = ci_slave_a;
+    assign  ci_master0_b        = ci_slave_b;
+    assign  ci_master0_c        = ci_slave_c;
+    assign  ci_master0_ipending = ci_slave_ipending;
+    assign  ci_master0_estatus  = ci_slave_estatus;
+    assign  ci_master0_clk      = ci_slave_clk;
+    assign  ci_master0_clken    = ci_slave_clken;
+    assign  ci_master0_reset_req = ci_slave_reset_req;
+    assign  ci_master0_reset    = ci_slave_reset;
+
+
+    // -------------------------------------------------------
+    // Figure out which output is selected, and use that to
+    // gate control signals
+    // -------------------------------------------------------
+    assign select0 = ci_slave_n >= 252 && ci_slave_n < 256;
+
+    assign ci_master0_readra  = (select0 && ci_slave_readra);
+    assign ci_master0_readrb  = (select0 && ci_slave_readrb);
+    assign ci_master0_writerc = (select0 && ci_slave_writerc);
+    assign ci_master0_start   = (select0 && ci_slave_start);
+
+
+    // -------------------------------------------------------
+    // Use the select signal to figure out which result to mux
+    // back
+    // -------------------------------------------------------
+    assign ci_slave_result = {32{ select0 }} & ci_master0_result
+    ;
+
+    assign ci_slave_done = select0 & ci_master0_done
+    ;
+
+endmodule
+

+ 59 - 0
nios2_uc/synthesis/submodules/nios2_uc_pio_BUTTON.v

@@ -0,0 +1,59 @@
+//Legal Notice: (C)2020 Altera Corporation. All rights reserved.  Your
+//use of Altera Corporation's design tools, logic functions and other
+//software and tools, and its AMPP partner logic functions, and any
+//output files any of the foregoing (including device programming or
+//simulation files), and any associated documentation or information are
+//expressly subject to the terms and conditions of the Altera Program
+//License Subscription Agreement or other applicable license agreement,
+//including, without limitation, that your use is for the sole purpose
+//of programming logic devices manufactured by Altera and sold by Altera
+//or its authorized distributors.  Please refer to the applicable
+//agreement for further details.
+
+// synthesis translate_off
+`timescale 1ns / 1ps
+// synthesis translate_on
+
+// turn off superfluous verilog processor warnings 
+// altera message_level Level1 
+// altera message_off 10034 10035 10036 10037 10230 10240 10030 
+
+module nios2_uc_pio_BUTTON (
+                             // inputs:
+                              address,
+                              clk,
+                              in_port,
+                              reset_n,
+
+                             // outputs:
+                              readdata
+                           )
+;
+
+  output  [ 31: 0] readdata;
+  input   [  1: 0] address;
+  input            clk;
+  input   [  7: 0] in_port;
+  input            reset_n;
+
+
+wire             clk_en;
+wire    [  7: 0] data_in;
+wire    [  7: 0] read_mux_out;
+reg     [ 31: 0] readdata;
+  assign clk_en = 1;
+  //s1, which is an e_avalon_slave
+  assign read_mux_out = {8 {(address == 0)}} & data_in;
+  always @(posedge clk or negedge reset_n)
+    begin
+      if (reset_n == 0)
+          readdata <= 0;
+      else if (clk_en)
+          readdata <= {32'b0 | read_mux_out};
+    end
+
+
+  assign data_in = in_port;
+
+endmodule
+

+ 70 - 0
nios2_uc/synthesis/submodules/nios2_uc_pio_COL_ADDR.v

@@ -0,0 +1,70 @@
+//Legal Notice: (C)2020 Altera Corporation. All rights reserved.  Your
+//use of Altera Corporation's design tools, logic functions and other
+//software and tools, and its AMPP partner logic functions, and any
+//output files any of the foregoing (including device programming or
+//simulation files), and any associated documentation or information are
+//expressly subject to the terms and conditions of the Altera Program
+//License Subscription Agreement or other applicable license agreement,
+//including, without limitation, that your use is for the sole purpose
+//of programming logic devices manufactured by Altera and sold by Altera
+//or its authorized distributors.  Please refer to the applicable
+//agreement for further details.
+
+// synthesis translate_off
+`timescale 1ns / 1ps
+// synthesis translate_on
+
+// turn off superfluous verilog processor warnings 
+// altera message_level Level1 
+// altera message_off 10034 10035 10036 10037 10230 10240 10030 
+
+module nios2_uc_pio_COL_ADDR (
+                               // inputs:
+                                address,
+                                chipselect,
+                                clk,
+                                reset_n,
+                                write_n,
+                                writedata,
+
+                               // outputs:
+                                out_port,
+                                readdata
+                             )
+;
+
+  output  [  7: 0] out_port;
+  output  [ 31: 0] readdata;
+  input   [  2: 0] address;
+  input            chipselect;
+  input            clk;
+  input            reset_n;
+  input            write_n;
+  input   [ 31: 0] writedata;
+
+
+wire             clk_en;
+reg     [  7: 0] data_out;
+wire    [  7: 0] out_port;
+wire    [  7: 0] read_mux_out;
+wire    [ 31: 0] readdata;
+wire             wr_strobe;
+  assign clk_en = 1;
+  //s1, which is an e_avalon_slave
+  assign read_mux_out = {8 {(address == 0)}} & data_out;
+  assign wr_strobe = chipselect && ~write_n;
+  always @(posedge clk or negedge reset_n)
+    begin
+      if (reset_n == 0)
+          data_out <= 0;
+      else if (clk_en)
+          if (wr_strobe)
+              data_out <= (address == 5)? data_out & ~writedata[7 : 0]: (address == 4)? data_out | writedata[7 : 0]: (address == 0)? writedata[7 : 0]: data_out;
+    end
+
+
+  assign readdata = {32'b0 | read_mux_out};
+  assign out_port = data_out;
+
+endmodule
+

+ 67 - 0
nios2_uc/synthesis/submodules/nios2_uc_pio_MATRIX.v

@@ -0,0 +1,67 @@
+//Legal Notice: (C)2020 Altera Corporation. All rights reserved.  Your
+//use of Altera Corporation's design tools, logic functions and other
+//software and tools, and its AMPP partner logic functions, and any
+//output files any of the foregoing (including device programming or
+//simulation files), and any associated documentation or information are
+//expressly subject to the terms and conditions of the Altera Program
+//License Subscription Agreement or other applicable license agreement,
+//including, without limitation, that your use is for the sole purpose
+//of programming logic devices manufactured by Altera and sold by Altera
+//or its authorized distributors.  Please refer to the applicable
+//agreement for further details.
+
+// synthesis translate_off
+`timescale 1ns / 1ps
+// synthesis translate_on
+
+// turn off superfluous verilog processor warnings 
+// altera message_level Level1 
+// altera message_off 10034 10035 10036 10037 10230 10240 10030 
+
+module nios2_uc_pio_MATRIX (
+                             // inputs:
+                              address,
+                              chipselect,
+                              clk,
+                              reset_n,
+                              write_n,
+                              writedata,
+
+                             // outputs:
+                              out_port,
+                              readdata
+                           )
+;
+
+  output  [ 19: 0] out_port;
+  output  [ 31: 0] readdata;
+  input   [  1: 0] address;
+  input            chipselect;
+  input            clk;
+  input            reset_n;
+  input            write_n;
+  input   [ 31: 0] writedata;
+
+
+wire             clk_en;
+reg     [ 19: 0] data_out;
+wire    [ 19: 0] out_port;
+wire    [ 19: 0] read_mux_out;
+wire    [ 31: 0] readdata;
+  assign clk_en = 1;
+  //s1, which is an e_avalon_slave
+  assign read_mux_out = {20 {(address == 0)}} & data_out;
+  always @(posedge clk or negedge reset_n)
+    begin
+      if (reset_n == 0)
+          data_out <= 0;
+      else if (chipselect && ~write_n && (address == 0))
+          data_out <= writedata[19 : 0];
+    end
+
+
+  assign readdata = {32'b0 | read_mux_out};
+  assign out_port = data_out;
+
+endmodule
+

+ 67 - 0
nios2_uc/synthesis/submodules/nios2_uc_pio_ROW.v

@@ -0,0 +1,67 @@
+//Legal Notice: (C)2020 Altera Corporation. All rights reserved.  Your
+//use of Altera Corporation's design tools, logic functions and other
+//software and tools, and its AMPP partner logic functions, and any
+//output files any of the foregoing (including device programming or
+//simulation files), and any associated documentation or information are
+//expressly subject to the terms and conditions of the Altera Program
+//License Subscription Agreement or other applicable license agreement,
+//including, without limitation, that your use is for the sole purpose
+//of programming logic devices manufactured by Altera and sold by Altera
+//or its authorized distributors.  Please refer to the applicable
+//agreement for further details.
+
+// synthesis translate_off
+`timescale 1ns / 1ps
+// synthesis translate_on
+
+// turn off superfluous verilog processor warnings 
+// altera message_level Level1 
+// altera message_off 10034 10035 10036 10037 10230 10240 10030 
+
+module nios2_uc_pio_ROW (
+                          // inputs:
+                           address,
+                           chipselect,
+                           clk,
+                           reset_n,
+                           write_n,
+                           writedata,
+
+                          // outputs:
+                           out_port,
+                           readdata
+                        )
+;
+
+  output  [ 11: 0] out_port;
+  output  [ 31: 0] readdata;
+  input   [  1: 0] address;
+  input            chipselect;
+  input            clk;
+  input            reset_n;
+  input            write_n;
+  input   [ 31: 0] writedata;
+
+
+wire             clk_en;
+reg     [ 11: 0] data_out;
+wire    [ 11: 0] out_port;
+wire    [ 11: 0] read_mux_out;
+wire    [ 31: 0] readdata;
+  assign clk_en = 1;
+  //s1, which is an e_avalon_slave
+  assign read_mux_out = {12 {(address == 0)}} & data_out;
+  always @(posedge clk or negedge reset_n)
+    begin
+      if (reset_n == 0)
+          data_out <= 0;
+      else if (chipselect && ~write_n && (address == 0))
+          data_out <= writedata[11 : 0];
+    end
+
+
+  assign readdata = {32'b0 | read_mux_out};
+  assign out_port = data_out;
+
+endmodule
+

+ 15 - 0
output_file.map

@@ -0,0 +1,15 @@
+BLOCK		START ADDRESS		END ADDRESS
+
+Page_0		0x00000000		0x00367F05
+
+
+Configuration device: EPCS64
+Configuration mode: Active Serial
+Quad-Serial configuration device dummy clock cycle: 8
+
+
+Notes:
+
+- Data checksum for this conversion is 0x49DE7C61
+
+- All the addresses in this file are byte addresses

+ 2 - 2
output_files/myfirst_niosii.cdf

@@ -1,10 +1,10 @@
-/* Quartus Prime Version 18.1.1 Build 646 04/11/2019 SJ Lite Edition */
+/* Quartus Prime Version 18.1.0 Build 625 09/12/2018 SJ Lite Edition */
 JedecChain;
 	FileRevision(JESD32A);
 	DefaultMfr(6E);
 
 	P ActionCode(Cfg)
-		Device PartName(EP4CE115F29) Path("/home/sstudent/HS-Codesign/HS-Codesign/niosii_20201119/output_files/") File("myfirst_niosii.sof") MfrSpec(OpMask(1));
+		Device PartName(EP4CE115F29) Path("/home/user/Google-Drive/Hochschule-Anhalt/HS-Codesign/pong_20201203/output_files/") File("myfirst_niosii.sof") MfrSpec(OpMask(1));
 
 ChainEnd;
 

+ 1 - 1
output_files/myfirst_niosii.sld

@@ -2,7 +2,7 @@
   <sld_infos>
     <sld_info hpath="nios2_uc:u0" name="u0">
       <assignment_values>
-        <assignment_value text="QSYS_NAME nios2_uc HAS_SOPCINFO 1 GENERATION_ID 1605800269"/>
+        <assignment_value text="QSYS_NAME nios2_uc HAS_SOPCINFO 1 GENERATION_ID 1607012346"/>
       </assignment_values>
     </sld_info>
     <sld_info hpath="sld_hub:auto_hub|alt_sld_fab:\instrumentation_fabric_with_node_gen:instrumentation_fabric" library="alt_sld_fab" name="instrumentation_fabric">

BIN
software/.metadata/.mylyn/repositories.xml.zip


BIN
software/.metadata/.plugins/org.eclipse.cdt.core/hello_world.1606398843993.pdom


+ 0 - 2505
software/.metadata/.plugins/org.eclipse.cdt.core/hello_world.language.settings.xml

@@ -1,2505 +0,0 @@
-<?xml version="1.0" encoding="UTF-8" standalone="no"?>
-<project>
-	<configuration id="preference.org.eclipse.cdt.managedbuilder.core.configurationDataProvider.1616516038" name="Nios II">
-		<extension point="org.eclipse.cdt.core.LanguageSettingsProvider">
-			<provider id="altera.tool.Nios2GCCBuiltinSpecsDetector">
-				<language id="org.eclipse.cdt.core.gcc">
-					<entry kind="includePath" name="/opt/intelFPGA/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/lib/gcc/nios2-elf/5.3.0/include">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="includePath" name="/opt/intelFPGA/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/lib/gcc/nios2-elf/5.3.0/include-fixed">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="includePath" name="/opt/intelFPGA/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/nios2-elf/include">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="NIOS2" value="1">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__ATOMIC_ACQUIRE" value="2">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__ATOMIC_ACQ_REL" value="4">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__ATOMIC_CONSUME" value="1">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__ATOMIC_RELAXED" value="0">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__ATOMIC_RELEASE" value="3">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__ATOMIC_SEQ_CST" value="5">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__BIGGEST_ALIGNMENT__" value="4">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__BYTE_ORDER__" value="__ORDER_LITTLE_ENDIAN__">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__CHAR16_TYPE__" value="short unsigned int">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__CHAR32_TYPE__" value="long unsigned int">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__CHAR_BIT__" value="8">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__DBL_DECIMAL_DIG__" value="17">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__DBL_DENORM_MIN__" value="((double)4.9406564584124654e-324L)">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__DBL_DIG__" value="15">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__DBL_EPSILON__" value="((double)2.2204460492503131e-16L)">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__DBL_HAS_DENORM__" value="1">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__DBL_HAS_INFINITY__" value="1">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__DBL_HAS_QUIET_NAN__" value="1">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__DBL_MANT_DIG__" value="53">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__DBL_MAX_10_EXP__" value="308">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__DBL_MAX_EXP__" value="1024">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__DBL_MAX__" value="((double)1.7976931348623157e+308L)">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__DBL_MIN_10_EXP__" value="(-307)">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__DBL_MIN_EXP__" value="(-1021)">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__DBL_MIN__" value="((double)2.2250738585072014e-308L)">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__DEC128_EPSILON__" value="1E-33DL">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__DEC128_MANT_DIG__" value="34">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__DEC128_MAX_EXP__" value="6145">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__DEC128_MAX__" value="9.999999999999999999999999999999999E6144DL">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__DEC128_MIN_EXP__" value="(-6142)">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__DEC128_MIN__" value="1E-6143DL">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__DEC128_SUBNORMAL_MIN__" value="0.000000000000000000000000000000001E-6143DL">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__DEC32_EPSILON__" value="1E-6DF">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__DEC32_MANT_DIG__" value="7">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__DEC32_MAX_EXP__" value="97">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__DEC32_MAX__" value="9.999999E96DF">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__DEC32_MIN_EXP__" value="(-94)">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__DEC32_MIN__" value="1E-95DF">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__DEC32_SUBNORMAL_MIN__" value="0.000001E-95DF">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__DEC64_EPSILON__" value="1E-15DD">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__DEC64_MANT_DIG__" value="16">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__DEC64_MAX_EXP__" value="385">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__DEC64_MAX__" value="9.999999999999999E384DD">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__DEC64_MIN_EXP__" value="(-382)">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__DEC64_MIN__" value="1E-383DD">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__DEC64_SUBNORMAL_MIN__" value="0.000000000000001E-383DD">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__DECIMAL_DIG__" value="17">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__DEC_EVAL_METHOD__" value="2">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__ELF__" value="1">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__FINITE_MATH_ONLY__" value="0">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__FLOAT_WORD_ORDER__" value="__ORDER_LITTLE_ENDIAN__">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__FLT_DECIMAL_DIG__" value="9">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__FLT_DENORM_MIN__" value="1.4012984643248171e-45F">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__FLT_DIG__" value="6">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__FLT_EPSILON__" value="1.1920928955078125e-7F">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__FLT_EVAL_METHOD__" value="0">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__FLT_HAS_DENORM__" value="1">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__FLT_HAS_INFINITY__" value="1">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__FLT_HAS_QUIET_NAN__" value="1">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__FLT_MANT_DIG__" value="24">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__FLT_MAX_10_EXP__" value="38">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__FLT_MAX_EXP__" value="128">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__FLT_MAX__" value="3.4028234663852886e+38F">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__FLT_MIN_10_EXP__" value="(-37)">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__FLT_MIN_EXP__" value="(-125)">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__FLT_MIN__" value="1.1754943508222875e-38F">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__FLT_RADIX__" value="2">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__GCC_ATOMIC_BOOL_LOCK_FREE" value="1">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__GCC_ATOMIC_CHAR16_T_LOCK_FREE" value="1">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__GCC_ATOMIC_CHAR32_T_LOCK_FREE" value="1">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__GCC_ATOMIC_CHAR_LOCK_FREE" value="1">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__GCC_ATOMIC_INT_LOCK_FREE" value="1">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__GCC_ATOMIC_LLONG_LOCK_FREE" value="1">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__GCC_ATOMIC_LONG_LOCK_FREE" value="1">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__GCC_ATOMIC_POINTER_LOCK_FREE" value="1">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__GCC_ATOMIC_SHORT_LOCK_FREE" value="1">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__GCC_ATOMIC_TEST_AND_SET_TRUEVAL" value="1">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__GCC_ATOMIC_WCHAR_T_LOCK_FREE" value="1">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__GCC_IEC_559" value="0">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__GCC_IEC_559_COMPLEX" value="0">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__GNUC_MINOR__" value="3">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__GNUC_PATCHLEVEL__" value="0">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__GNUC_STDC_INLINE__" value="1">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__GNUC__" value="5">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__GXX_ABI_VERSION" value="1009">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__INT16_C(c)" value="c">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__INT16_MAX__" value="0x7fff">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__INT16_TYPE__" value="short int">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__INT32_C(c)" value="c ## L">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__INT32_MAX__" value="0x7fffffffL">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__INT32_TYPE__" value="long int">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__INT64_C(c)" value="c ## LL">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__INT64_MAX__" value="0x7fffffffffffffffLL">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__INT64_TYPE__" value="long long int">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__INT8_C(c)" value="c">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__INT8_MAX__" value="0x7f">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__INT8_TYPE__" value="signed char">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__INTMAX_C(c)" value="c ## LL">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__INTMAX_MAX__" value="0x7fffffffffffffffLL">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__INTMAX_TYPE__" value="long long int">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__INTPTR_MAX__" value="0x7fffffff">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__INTPTR_TYPE__" value="int">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__INT_FAST16_MAX__" value="0x7fffffff">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__INT_FAST16_TYPE__" value="int">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__INT_FAST32_MAX__" value="0x7fffffff">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__INT_FAST32_TYPE__" value="int">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__INT_FAST64_MAX__" value="0x7fffffffffffffffLL">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__INT_FAST64_TYPE__" value="long long int">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__INT_FAST8_MAX__" value="0x7fffffff">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__INT_FAST8_TYPE__" value="int">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__INT_LEAST16_MAX__" value="0x7fff">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__INT_LEAST16_TYPE__" value="short int">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__INT_LEAST32_MAX__" value="0x7fffffffL">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__INT_LEAST32_TYPE__" value="long int">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__INT_LEAST64_MAX__" value="0x7fffffffffffffffLL">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__INT_LEAST64_TYPE__" value="long long int">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__INT_LEAST8_MAX__" value="0x7f">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__INT_LEAST8_TYPE__" value="signed char">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__INT_MAX__" value="0x7fffffff">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__LDBL_DENORM_MIN__" value="4.9406564584124654e-324L">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__LDBL_DIG__" value="15">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__LDBL_EPSILON__" value="2.2204460492503131e-16L">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__LDBL_HAS_DENORM__" value="1">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__LDBL_HAS_INFINITY__" value="1">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__LDBL_HAS_QUIET_NAN__" value="1">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__LDBL_MANT_DIG__" value="53">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__LDBL_MAX_10_EXP__" value="308">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__LDBL_MAX_EXP__" value="1024">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__LDBL_MAX__" value="1.7976931348623157e+308L">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__LDBL_MIN_10_EXP__" value="(-307)">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__LDBL_MIN_EXP__" value="(-1021)">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__LDBL_MIN__" value="2.2250738585072014e-308L">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__LONG_LONG_MAX__" value="0x7fffffffffffffffLL">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__LONG_MAX__" value="0x7fffffffL">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__NIOS2" value="1">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__NIOS2__" value="1">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__NO_INLINE__" value="1">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__ORDER_BIG_ENDIAN__" value="4321">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__ORDER_LITTLE_ENDIAN__" value="1234">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__ORDER_PDP_ENDIAN__" value="3412">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__PRAGMA_REDEFINE_EXTNAME" value="1">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__PTRDIFF_MAX__" value="0x7fffffff">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__PTRDIFF_TYPE__" value="int">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__REGISTER_PREFIX__" value="">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__SCHAR_MAX__" value="0x7f">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__SHRT_MAX__" value="0x7fff">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__SIG_ATOMIC_MAX__" value="0x7fffffff">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__SIG_ATOMIC_MIN__" value="(-__SIG_ATOMIC_MAX__ - 1)">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__SIG_ATOMIC_TYPE__" value="int">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__SIZEOF_DOUBLE__" value="8">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__SIZEOF_FLOAT__" value="4">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__SIZEOF_INT__" value="4">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__SIZEOF_LONG_DOUBLE__" value="8">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__SIZEOF_LONG_LONG__" value="8">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__SIZEOF_LONG__" value="4">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__SIZEOF_POINTER__" value="4">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__SIZEOF_PTRDIFF_T__" value="4">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__SIZEOF_SHORT__" value="2">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__SIZEOF_SIZE_T__" value="4">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__SIZEOF_WCHAR_T__" value="4">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__SIZEOF_WINT_T__" value="4">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__SIZE_MAX__" value="0xffffffffU">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__SIZE_TYPE__" value="unsigned int">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__STDC_HOSTED__" value="1">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__STDC_UTF_16__" value="1">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__STDC_UTF_32__" value="1">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__STDC_VERSION__" value="201112L">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__STDC__" value="1">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__UINT16_C(c)" value="c">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__UINT16_MAX__" value="0xffff">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__UINT16_TYPE__" value="short unsigned int">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__UINT32_C(c)" value="c ## UL">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__UINT32_MAX__" value="0xffffffffUL">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__UINT32_TYPE__" value="long unsigned int">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__UINT64_C(c)" value="c ## ULL">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__UINT64_MAX__" value="0xffffffffffffffffULL">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__UINT64_TYPE__" value="long long unsigned int">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__UINT8_C(c)" value="c">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__UINT8_MAX__" value="0xff">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__UINT8_TYPE__" value="unsigned char">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__UINTMAX_C(c)" value="c ## ULL">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__UINTMAX_MAX__" value="0xffffffffffffffffULL">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__UINTMAX_TYPE__" value="long long unsigned int">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__UINTPTR_MAX__" value="0xffffffffU">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__UINTPTR_TYPE__" value="unsigned int">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__UINT_FAST16_MAX__" value="0xffffffffU">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__UINT_FAST16_TYPE__" value="unsigned int">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__UINT_FAST32_MAX__" value="0xffffffffU">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__UINT_FAST32_TYPE__" value="unsigned int">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__UINT_FAST64_MAX__" value="0xffffffffffffffffULL">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__UINT_FAST64_TYPE__" value="long long unsigned int">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__UINT_FAST8_MAX__" value="0xffffffffU">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__UINT_FAST8_TYPE__" value="unsigned int">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__UINT_LEAST16_MAX__" value="0xffff">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__UINT_LEAST16_TYPE__" value="short unsigned int">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__UINT_LEAST32_MAX__" value="0xffffffffUL">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__UINT_LEAST32_TYPE__" value="long unsigned int">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__UINT_LEAST64_MAX__" value="0xffffffffffffffffULL">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__UINT_LEAST64_TYPE__" value="long long unsigned int">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__UINT_LEAST8_MAX__" value="0xff">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__UINT_LEAST8_TYPE__" value="unsigned char">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__USER_LABEL_PREFIX__" value="">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__USING_SJLJ_EXCEPTIONS__" value="1">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__VERSION__" value="&quot;5.3.0&quot;">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__WCHAR_MAX__" value="0x7fffffff">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__WCHAR_MIN__" value="(-__WCHAR_MAX__ - 1)">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__WCHAR_TYPE__" value="int">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__WINT_MAX__" value="0xffffffffU">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__WINT_MIN__" value="0U">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__WINT_TYPE__" value="unsigned int">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__has_include(STR)" value="__has_include__(STR)">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__has_include_next(STR)" value="__has_include_next__(STR)">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__nios2" value="1">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__nios2__" value="1">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__nios2_arch__" value="1">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__nios2_little_endian" value="1">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__nios2_little_endian__" value="1">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="nios2" value="1">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="nios2_little_endian" value="1">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-				</language>
-				<language id="org.eclipse.cdt.core.g++">
-					<entry kind="includePath" name="/opt/intelFPGA/18.1/nios2eds/bin/gnu/nios2-elf/include/c++/5.3.0">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="includePath" name="/opt/intelFPGA/18.1/nios2eds/bin/gnu/nios2-elf/include/c++/5.3.0/nios2-elf">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="includePath" name="/opt/intelFPGA/18.1/nios2eds/bin/gnu/nios2-elf/include/c++/5.3.0/backward">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="includePath" name="/opt/intelFPGA/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/lib/gcc/nios2-elf/5.3.0/include">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="includePath" name="/opt/intelFPGA/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/lib/gcc/nios2-elf/5.3.0/include-fixed">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="includePath" name="/opt/intelFPGA/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/nios2-elf/include">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="NIOS2" value="1">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__ATOMIC_ACQUIRE" value="2">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__ATOMIC_ACQ_REL" value="4">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__ATOMIC_CONSUME" value="1">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__ATOMIC_RELAXED" value="0">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__ATOMIC_RELEASE" value="3">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__ATOMIC_SEQ_CST" value="5">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__BIGGEST_ALIGNMENT__" value="4">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__BYTE_ORDER__" value="__ORDER_LITTLE_ENDIAN__">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__CHAR16_TYPE__" value="short unsigned int">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__CHAR32_TYPE__" value="long unsigned int">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__CHAR_BIT__" value="8">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__DBL_DECIMAL_DIG__" value="17">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__DBL_DENORM_MIN__" value="double(4.9406564584124654e-324L)">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__DBL_DIG__" value="15">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__DBL_EPSILON__" value="double(2.2204460492503131e-16L)">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__DBL_HAS_DENORM__" value="1">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__DBL_HAS_INFINITY__" value="1">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__DBL_HAS_QUIET_NAN__" value="1">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__DBL_MANT_DIG__" value="53">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__DBL_MAX_10_EXP__" value="308">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__DBL_MAX_EXP__" value="1024">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__DBL_MAX__" value="double(1.7976931348623157e+308L)">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__DBL_MIN_10_EXP__" value="(-307)">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__DBL_MIN_EXP__" value="(-1021)">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__DBL_MIN__" value="double(2.2250738585072014e-308L)">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__DEC128_EPSILON__" value="1E-33DL">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__DEC128_MANT_DIG__" value="34">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__DEC128_MAX_EXP__" value="6145">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__DEC128_MAX__" value="9.999999999999999999999999999999999E6144DL">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__DEC128_MIN_EXP__" value="(-6142)">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__DEC128_MIN__" value="1E-6143DL">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__DEC128_SUBNORMAL_MIN__" value="0.000000000000000000000000000000001E-6143DL">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__DEC32_EPSILON__" value="1E-6DF">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__DEC32_MANT_DIG__" value="7">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__DEC32_MAX_EXP__" value="97">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__DEC32_MAX__" value="9.999999E96DF">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__DEC32_MIN_EXP__" value="(-94)">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__DEC32_MIN__" value="1E-95DF">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__DEC32_SUBNORMAL_MIN__" value="0.000001E-95DF">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__DEC64_EPSILON__" value="1E-15DD">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__DEC64_MANT_DIG__" value="16">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__DEC64_MAX_EXP__" value="385">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__DEC64_MAX__" value="9.999999999999999E384DD">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__DEC64_MIN_EXP__" value="(-382)">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__DEC64_MIN__" value="1E-383DD">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__DEC64_SUBNORMAL_MIN__" value="0.000000000000001E-383DD">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__DECIMAL_DIG__" value="17">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__DEC_EVAL_METHOD__" value="2">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__DEPRECATED" value="1">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__ELF__" value="1">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__EXCEPTIONS" value="1">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__FINITE_MATH_ONLY__" value="0">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__FLOAT_WORD_ORDER__" value="__ORDER_LITTLE_ENDIAN__">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__FLT_DECIMAL_DIG__" value="9">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__FLT_DENORM_MIN__" value="1.4012984643248171e-45F">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__FLT_DIG__" value="6">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__FLT_EPSILON__" value="1.1920928955078125e-7F">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__FLT_EVAL_METHOD__" value="0">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__FLT_HAS_DENORM__" value="1">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__FLT_HAS_INFINITY__" value="1">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__FLT_HAS_QUIET_NAN__" value="1">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__FLT_MANT_DIG__" value="24">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__FLT_MAX_10_EXP__" value="38">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__FLT_MAX_EXP__" value="128">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__FLT_MAX__" value="3.4028234663852886e+38F">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__FLT_MIN_10_EXP__" value="(-37)">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__FLT_MIN_EXP__" value="(-125)">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__FLT_MIN__" value="1.1754943508222875e-38F">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__FLT_RADIX__" value="2">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__GCC_ATOMIC_BOOL_LOCK_FREE" value="1">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__GCC_ATOMIC_CHAR16_T_LOCK_FREE" value="1">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__GCC_ATOMIC_CHAR32_T_LOCK_FREE" value="1">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__GCC_ATOMIC_CHAR_LOCK_FREE" value="1">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__GCC_ATOMIC_INT_LOCK_FREE" value="1">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__GCC_ATOMIC_LLONG_LOCK_FREE" value="1">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__GCC_ATOMIC_LONG_LOCK_FREE" value="1">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__GCC_ATOMIC_POINTER_LOCK_FREE" value="1">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__GCC_ATOMIC_SHORT_LOCK_FREE" value="1">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__GCC_ATOMIC_TEST_AND_SET_TRUEVAL" value="1">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__GCC_ATOMIC_WCHAR_T_LOCK_FREE" value="1">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__GCC_IEC_559" value="0">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__GCC_IEC_559_COMPLEX" value="0">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__GNUC_GNU_INLINE__" value="1">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__GNUC_MINOR__" value="3">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__GNUC_PATCHLEVEL__" value="0">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__GNUC__" value="5">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__GNUG__" value="5">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__GXX_ABI_VERSION" value="1009">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__GXX_RTTI" value="1">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__GXX_WEAK__" value="1">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__INT16_C(c)" value="c">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__INT16_MAX__" value="0x7fff">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__INT16_TYPE__" value="short int">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__INT32_C(c)" value="c ## L">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__INT32_MAX__" value="0x7fffffffL">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__INT32_TYPE__" value="long int">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__INT64_C(c)" value="c ## LL">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__INT64_MAX__" value="0x7fffffffffffffffLL">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__INT64_TYPE__" value="long long int">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__INT8_C(c)" value="c">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__INT8_MAX__" value="0x7f">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__INT8_TYPE__" value="signed char">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__INTMAX_C(c)" value="c ## LL">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__INTMAX_MAX__" value="0x7fffffffffffffffLL">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__INTMAX_TYPE__" value="long long int">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__INTPTR_MAX__" value="0x7fffffff">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__INTPTR_TYPE__" value="int">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__INT_FAST16_MAX__" value="0x7fffffff">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__INT_FAST16_TYPE__" value="int">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__INT_FAST32_MAX__" value="0x7fffffff">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__INT_FAST32_TYPE__" value="int">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__INT_FAST64_MAX__" value="0x7fffffffffffffffLL">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__INT_FAST64_TYPE__" value="long long int">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__INT_FAST8_MAX__" value="0x7fffffff">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__INT_FAST8_TYPE__" value="int">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__INT_LEAST16_MAX__" value="0x7fff">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__INT_LEAST16_TYPE__" value="short int">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__INT_LEAST32_MAX__" value="0x7fffffffL">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__INT_LEAST32_TYPE__" value="long int">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__INT_LEAST64_MAX__" value="0x7fffffffffffffffLL">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__INT_LEAST64_TYPE__" value="long long int">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__INT_LEAST8_MAX__" value="0x7f">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__INT_LEAST8_TYPE__" value="signed char">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__INT_MAX__" value="0x7fffffff">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__LDBL_DENORM_MIN__" value="4.9406564584124654e-324L">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__LDBL_DIG__" value="15">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__LDBL_EPSILON__" value="2.2204460492503131e-16L">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__LDBL_HAS_DENORM__" value="1">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__LDBL_HAS_INFINITY__" value="1">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__LDBL_HAS_QUIET_NAN__" value="1">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__LDBL_MANT_DIG__" value="53">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__LDBL_MAX_10_EXP__" value="308">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__LDBL_MAX_EXP__" value="1024">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__LDBL_MAX__" value="1.7976931348623157e+308L">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__LDBL_MIN_10_EXP__" value="(-307)">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__LDBL_MIN_EXP__" value="(-1021)">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__LDBL_MIN__" value="2.2250738585072014e-308L">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__LONG_LONG_MAX__" value="0x7fffffffffffffffLL">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__LONG_MAX__" value="0x7fffffffL">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__NIOS2" value="1">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__NIOS2__" value="1">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__NO_INLINE__" value="1">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__ORDER_BIG_ENDIAN__" value="4321">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__ORDER_LITTLE_ENDIAN__" value="1234">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__ORDER_PDP_ENDIAN__" value="3412">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__PRAGMA_REDEFINE_EXTNAME" value="1">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__PTRDIFF_MAX__" value="0x7fffffff">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__PTRDIFF_TYPE__" value="int">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__REGISTER_PREFIX__" value="">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__SCHAR_MAX__" value="0x7f">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__SHRT_MAX__" value="0x7fff">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__SIG_ATOMIC_MAX__" value="0x7fffffff">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__SIG_ATOMIC_MIN__" value="(-__SIG_ATOMIC_MAX__ - 1)">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__SIG_ATOMIC_TYPE__" value="int">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__SIZEOF_DOUBLE__" value="8">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__SIZEOF_FLOAT__" value="4">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__SIZEOF_INT__" value="4">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__SIZEOF_LONG_DOUBLE__" value="8">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__SIZEOF_LONG_LONG__" value="8">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__SIZEOF_LONG__" value="4">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__SIZEOF_POINTER__" value="4">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__SIZEOF_PTRDIFF_T__" value="4">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__SIZEOF_SHORT__" value="2">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__SIZEOF_SIZE_T__" value="4">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__SIZEOF_WCHAR_T__" value="4">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__SIZEOF_WINT_T__" value="4">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__SIZE_MAX__" value="0xffffffffU">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__SIZE_TYPE__" value="unsigned int">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__STDC_HOSTED__" value="1">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__STDC__" value="1">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__UINT16_C(c)" value="c">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__UINT16_MAX__" value="0xffff">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__UINT16_TYPE__" value="short unsigned int">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__UINT32_C(c)" value="c ## UL">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__UINT32_MAX__" value="0xffffffffUL">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__UINT32_TYPE__" value="long unsigned int">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__UINT64_C(c)" value="c ## ULL">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__UINT64_MAX__" value="0xffffffffffffffffULL">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__UINT64_TYPE__" value="long long unsigned int">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__UINT8_C(c)" value="c">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__UINT8_MAX__" value="0xff">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__UINT8_TYPE__" value="unsigned char">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__UINTMAX_C(c)" value="c ## ULL">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__UINTMAX_MAX__" value="0xffffffffffffffffULL">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__UINTMAX_TYPE__" value="long long unsigned int">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__UINTPTR_MAX__" value="0xffffffffU">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__UINTPTR_TYPE__" value="unsigned int">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__UINT_FAST16_MAX__" value="0xffffffffU">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__UINT_FAST16_TYPE__" value="unsigned int">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__UINT_FAST32_MAX__" value="0xffffffffU">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__UINT_FAST32_TYPE__" value="unsigned int">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__UINT_FAST64_MAX__" value="0xffffffffffffffffULL">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__UINT_FAST64_TYPE__" value="long long unsigned int">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__UINT_FAST8_MAX__" value="0xffffffffU">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__UINT_FAST8_TYPE__" value="unsigned int">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__UINT_LEAST16_MAX__" value="0xffff">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__UINT_LEAST16_TYPE__" value="short unsigned int">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__UINT_LEAST32_MAX__" value="0xffffffffUL">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__UINT_LEAST32_TYPE__" value="long unsigned int">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__UINT_LEAST64_MAX__" value="0xffffffffffffffffULL">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__UINT_LEAST64_TYPE__" value="long long unsigned int">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__UINT_LEAST8_MAX__" value="0xff">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__UINT_LEAST8_TYPE__" value="unsigned char">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__USER_LABEL_PREFIX__" value="">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__USING_SJLJ_EXCEPTIONS__" value="1">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__VERSION__" value="&quot;5.3.0&quot;">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__WCHAR_MAX__" value="0x7fffffff">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__WCHAR_MIN__" value="(-__WCHAR_MAX__ - 1)">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__WCHAR_TYPE__" value="int">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__WINT_MAX__" value="0xffffffffU">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__WINT_MIN__" value="0U">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__WINT_TYPE__" value="unsigned int">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__cplusplus" value="199711L">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__cpp_binary_literals" value="201304">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__cpp_exceptions" value="199711">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__cpp_rtti" value="199711">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__cpp_runtime_arrays" value="198712">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__has_include(STR)" value="__has_include__(STR)">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__has_include_next(STR)" value="__has_include_next__(STR)">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__nios2" value="1">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__nios2__" value="1">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__nios2_arch__" value="1">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__nios2_little_endian" value="1">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__nios2_little_endian__" value="1">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="nios2" value="1">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="nios2_little_endian" value="1">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-				</language>
-			</provider>
-			<provider id="altera.tool.Nios2GCCBuildCommandParser">
-				<language id="org.eclipse.cdt.core.gcc">
-					<resource project-relative-path="HAL/src/alt_uncached_free.c">
-						<entry kind="includePath" name="/hello_world_bsp/HAL/inc">
-							<flag value="VALUE_WORKSPACE_PATH|RESOLVED"/>
-						</entry>
-						<entry kind="includePath" name="/hello_world_bsp">
-							<flag value="VALUE_WORKSPACE_PATH|RESOLVED"/>
-						</entry>
-						<entry kind="includePath" name="/hello_world_bsp/drivers/inc">
-							<flag value="VALUE_WORKSPACE_PATH|RESOLVED"/>
-						</entry>
-						<entry kind="macro" name="ALT_NO_INSTRUCTION_EMULATION" value=""/>
-						<entry kind="macro" name="ALT_SINGLE_THREADED" value=""/>
-						<entry kind="macro" name="__hal__" value=""/>
-					</resource>
-					<resource project-relative-path="HAL/src/alt_wait.c">
-						<entry kind="includePath" name="/hello_world_bsp/HAL/inc">
-							<flag value="VALUE_WORKSPACE_PATH|RESOLVED"/>
-						</entry>
-						<entry kind="includePath" name="/hello_world_bsp">
-							<flag value="VALUE_WORKSPACE_PATH|RESOLVED"/>
-						</entry>
-						<entry kind="includePath" name="/hello_world_bsp/drivers/inc">
-							<flag value="VALUE_WORKSPACE_PATH|RESOLVED"/>
-						</entry>
-						<entry kind="macro" name="ALT_NO_INSTRUCTION_EMULATION" value=""/>
-						<entry kind="macro" name="ALT_SINGLE_THREADED" value=""/>
-						<entry kind="macro" name="__hal__" value=""/>
-					</resource>
-					<resource project-relative-path="alt_sys_init.c">
-						<entry kind="includePath" name="/hello_world_bsp/HAL/inc">
-							<flag value="VALUE_WORKSPACE_PATH|RESOLVED"/>
-						</entry>
-						<entry kind="includePath" name="/hello_world_bsp">
-							<flag value="VALUE_WORKSPACE_PATH|RESOLVED"/>
-						</entry>
-						<entry kind="includePath" name="/hello_world_bsp/drivers/inc">
-							<flag value="VALUE_WORKSPACE_PATH|RESOLVED"/>
-						</entry>
-						<entry kind="macro" name="ALT_NO_INSTRUCTION_EMULATION" value=""/>
-						<entry kind="macro" name="ALT_SINGLE_THREADED" value=""/>
-						<entry kind="macro" name="__hal__" value=""/>
-					</resource>
-					<resource project-relative-path="drivers/src/altera_avalon_jtag_uart_ioctl.c">
-						<entry kind="includePath" name="/hello_world_bsp/HAL/inc">
-							<flag value="VALUE_WORKSPACE_PATH|RESOLVED"/>
-						</entry>
-						<entry kind="includePath" name="/hello_world_bsp">
-							<flag value="VALUE_WORKSPACE_PATH|RESOLVED"/>
-						</entry>
-						<entry kind="includePath" name="/hello_world_bsp/drivers/inc">
-							<flag value="VALUE_WORKSPACE_PATH|RESOLVED"/>
-						</entry>
-						<entry kind="macro" name="ALT_NO_INSTRUCTION_EMULATION" value=""/>
-						<entry kind="macro" name="ALT_SINGLE_THREADED" value=""/>
-						<entry kind="macro" name="__hal__" value=""/>
-					</resource>
-					<resource project-relative-path="HAL/src/alt_printf.c">
-						<entry kind="includePath" name="/hello_world_bsp/HAL/inc">
-							<flag value="VALUE_WORKSPACE_PATH|RESOLVED"/>
-						</entry>
-						<entry kind="includePath" name="/hello_world_bsp">
-							<flag value="VALUE_WORKSPACE_PATH|RESOLVED"/>
-						</entry>
-						<entry kind="includePath" name="/hello_world_bsp/drivers/inc">
-							<flag value="VALUE_WORKSPACE_PATH|RESOLVED"/>
-						</entry>
-						<entry kind="macro" name="ALT_NO_INSTRUCTION_EMULATION" value=""/>
-						<entry kind="macro" name="ALT_SINGLE_THREADED" value=""/>
-						<entry kind="macro" name="__hal__" value=""/>
-					</resource>
-					<resource project-relative-path="HAL/src/alt_ioctl.c">
-						<entry kind="includePath" name="/hello_world_bsp/HAL/inc">
-							<flag value="VALUE_WORKSPACE_PATH|RESOLVED"/>
-						</entry>
-						<entry kind="includePath" name="/hello_world_bsp">
-							<flag value="VALUE_WORKSPACE_PATH|RESOLVED"/>
-						</entry>
-						<entry kind="includePath" name="/hello_world_bsp/drivers/inc">
-							<flag value="VALUE_WORKSPACE_PATH|RESOLVED"/>
-						</entry>
-						<entry kind="macro" name="ALT_NO_INSTRUCTION_EMULATION" value=""/>
-						<entry kind="macro" name="ALT_SINGLE_THREADED" value=""/>
-						<entry kind="macro" name="__hal__" value=""/>
-					</resource>
-					<resource project-relative-path="HAL/src/alt_fcntl.c">
-						<entry kind="includePath" name="/hello_world_bsp/HAL/inc">
-							<flag value="VALUE_WORKSPACE_PATH|RESOLVED"/>
-						</entry>
-						<entry kind="includePath" name="/hello_world_bsp">
-							<flag value="VALUE_WORKSPACE_PATH|RESOLVED"/>
-						</entry>
-						<entry kind="includePath" name="/hello_world_bsp/drivers/inc">
-							<flag value="VALUE_WORKSPACE_PATH|RESOLVED"/>
-						</entry>
-						<entry kind="macro" name="ALT_NO_INSTRUCTION_EMULATION" value=""/>
-						<entry kind="macro" name="ALT_SINGLE_THREADED" value=""/>
-						<entry kind="macro" name="__hal__" value=""/>
-					</resource>
-					<resource project-relative-path="drivers/src/altera_avalon_jtag_uart_read.c">
-						<entry kind="includePath" name="/hello_world_bsp/HAL/inc">
-							<flag value="VALUE_WORKSPACE_PATH|RESOLVED"/>
-						</entry>
-						<entry kind="includePath" name="/hello_world_bsp">
-							<flag value="VALUE_WORKSPACE_PATH|RESOLVED"/>
-						</entry>
-						<entry kind="includePath" name="/hello_world_bsp/drivers/inc">
-							<flag value="VALUE_WORKSPACE_PATH|RESOLVED"/>
-						</entry>
-						<entry kind="macro" name="ALT_NO_INSTRUCTION_EMULATION" value=""/>
-						<entry kind="macro" name="ALT_SINGLE_THREADED" value=""/>
-						<entry kind="macro" name="__hal__" value=""/>
-					</resource>
-					<resource project-relative-path="HAL/src/alt_instruction_exception_entry.c">
-						<entry kind="includePath" name="/hello_world_bsp/HAL/inc">
-							<flag value="VALUE_WORKSPACE_PATH|RESOLVED"/>
-						</entry>
-						<entry kind="includePath" name="/hello_world_bsp">
-							<flag value="VALUE_WORKSPACE_PATH|RESOLVED"/>
-						</entry>
-						<entry kind="includePath" name="/hello_world_bsp/drivers/inc">
-							<flag value="VALUE_WORKSPACE_PATH|RESOLVED"/>
-						</entry>
-						<entry kind="macro" name="ALT_NO_INSTRUCTION_EMULATION" value=""/>
-						<entry kind="macro" name="ALT_SINGLE_THREADED" value=""/>
-						<entry kind="macro" name="__hal__" value=""/>
-					</resource>
-					<resource project-relative-path="HAL/src/alt_irq_register.c">
-						<entry kind="includePath" name="/hello_world_bsp/HAL/inc">
-							<flag value="VALUE_WORKSPACE_PATH|RESOLVED"/>
-						</entry>
-						<entry kind="includePath" name="/hello_world_bsp">
-							<flag value="VALUE_WORKSPACE_PATH|RESOLVED"/>
-						</entry>
-						<entry kind="includePath" name="/hello_world_bsp/drivers/inc">
-							<flag value="VALUE_WORKSPACE_PATH|RESOLVED"/>
-						</entry>
-						<entry kind="macro" name="ALT_NO_INSTRUCTION_EMULATION" value=""/>
-						<entry kind="macro" name="ALT_SINGLE_THREADED" value=""/>
-						<entry kind="macro" name="__hal__" value=""/>
-					</resource>
-					<resource project-relative-path="HAL/src/alt_uncached_malloc.c">
-						<entry kind="includePath" name="/hello_world_bsp/HAL/inc">
-							<flag value="VALUE_WORKSPACE_PATH|RESOLVED"/>
-						</entry>
-						<entry kind="includePath" name="/hello_world_bsp">
-							<flag value="VALUE_WORKSPACE_PATH|RESOLVED"/>
-						</entry>
-						<entry kind="includePath" name="/hello_world_bsp/drivers/inc">
-							<flag value="VALUE_WORKSPACE_PATH|RESOLVED"/>
-						</entry>
-						<entry kind="macro" name="ALT_NO_INSTRUCTION_EMULATION" value=""/>
-						<entry kind="macro" name="ALT_SINGLE_THREADED" value=""/>
-						<entry kind="macro" name="__hal__" value=""/>
-					</resource>
-					<resource project-relative-path="HAL/src/alt_usleep.c">
-						<entry kind="includePath" name="/hello_world_bsp/HAL/inc">
-							<flag value="VALUE_WORKSPACE_PATH|RESOLVED"/>
-						</entry>
-						<entry kind="includePath" name="/hello_world_bsp">
-							<flag value="VALUE_WORKSPACE_PATH|RESOLVED"/>
-						</entry>
-						<entry kind="includePath" name="/hello_world_bsp/drivers/inc">
-							<flag value="VALUE_WORKSPACE_PATH|RESOLVED"/>
-						</entry>
-						<entry kind="macro" name="ALT_NO_INSTRUCTION_EMULATION" value=""/>
-						<entry kind="macro" name="ALT_SINGLE_THREADED" value=""/>
-						<entry kind="macro" name="__hal__" value=""/>
-					</resource>
-					<resource project-relative-path="HAL/src/alt_dev_llist_insert.c">
-						<entry kind="includePath" name="/hello_world_bsp/HAL/inc">
-							<flag value="VALUE_WORKSPACE_PATH|RESOLVED"/>
-						</entry>
-						<entry kind="includePath" name="/hello_world_bsp">
-							<flag value="VALUE_WORKSPACE_PATH|RESOLVED"/>
-						</entry>
-						<entry kind="includePath" name="/hello_world_bsp/drivers/inc">
-							<flag value="VALUE_WORKSPACE_PATH|RESOLVED"/>
-						</entry>
-						<entry kind="macro" name="ALT_NO_INSTRUCTION_EMULATION" value=""/>
-						<entry kind="macro" name="ALT_SINGLE_THREADED" value=""/>
-						<entry kind="macro" name="__hal__" value=""/>
-					</resource>
-					<resource project-relative-path="HAL/src/alt_fd_unlock.c">
-						<entry kind="includePath" name="/hello_world_bsp/HAL/inc">
-							<flag value="VALUE_WORKSPACE_PATH|RESOLVED"/>
-						</entry>
-						<entry kind="includePath" name="/hello_world_bsp">
-							<flag value="VALUE_WORKSPACE_PATH|RESOLVED"/>
-						</entry>
-						<entry kind="includePath" name="/hello_world_bsp/drivers/inc">
-							<flag value="VALUE_WORKSPACE_PATH|RESOLVED"/>
-						</entry>
-						<entry kind="macro" name="ALT_NO_INSTRUCTION_EMULATION" value=""/>
-						<entry kind="macro" name="ALT_SINGLE_THREADED" value=""/>
-						<entry kind="macro" name="__hal__" value=""/>
-					</resource>
-					<resource project-relative-path="HAL/src/alt_log_printf.c">
-						<entry kind="includePath" name="/hello_world_bsp/HAL/inc">
-							<flag value="VALUE_WORKSPACE_PATH|RESOLVED"/>
-						</entry>
-						<entry kind="includePath" name="/hello_world_bsp">
-							<flag value="VALUE_WORKSPACE_PATH|RESOLVED"/>
-						</entry>
-						<entry kind="includePath" name="/hello_world_bsp/drivers/inc">
-							<flag value="VALUE_WORKSPACE_PATH|RESOLVED"/>
-						</entry>
-						<entry kind="macro" name="ALT_NO_INSTRUCTION_EMULATION" value=""/>
-						<entry kind="macro" name="ALT_SINGLE_THREADED" value=""/>
-						<entry kind="macro" name="__hal__" value=""/>
-					</resource>
-					<resource project-relative-path="HAL/src/alt_environ.c">
-						<entry kind="includePath" name="/hello_world_bsp/HAL/inc">
-							<flag value="VALUE_WORKSPACE_PATH|RESOLVED"/>
-						</entry>
-						<entry kind="includePath" name="/hello_world_bsp">
-							<flag value="VALUE_WORKSPACE_PATH|RESOLVED"/>
-						</entry>
-						<entry kind="includePath" name="/hello_world_bsp/drivers/inc">
-							<flag value="VALUE_WORKSPACE_PATH|RESOLVED"/>
-						</entry>
-						<entry kind="macro" name="ALT_NO_INSTRUCTION_EMULATION" value=""/>
-						<entry kind="macro" name="ALT_SINGLE_THREADED" value=""/>
-						<entry kind="macro" name="__hal__" value=""/>
-					</resource>
-					<resource project-relative-path="HAL/src/alt_icache_flush.c">
-						<entry kind="includePath" name="/hello_world_bsp/HAL/inc">
-							<flag value="VALUE_WORKSPACE_PATH|RESOLVED"/>
-						</entry>
-						<entry kind="includePath" name="/hello_world_bsp">
-							<flag value="VALUE_WORKSPACE_PATH|RESOLVED"/>
-						</entry>
-						<entry kind="includePath" name="/hello_world_bsp/drivers/inc">
-							<flag value="VALUE_WORKSPACE_PATH|RESOLVED"/>
-						</entry>
-						<entry kind="macro" name="ALT_NO_INSTRUCTION_EMULATION" value=""/>
-						<entry kind="macro" name="ALT_SINGLE_THREADED" value=""/>
-						<entry kind="macro" name="__hal__" value=""/>
-					</resource>
-					<resource project-relative-path="HAL/src/alt_execve.c">
-						<entry kind="includePath" name="/hello_world_bsp/HAL/inc">
-							<flag value="VALUE_WORKSPACE_PATH|RESOLVED"/>
-						</entry>
-						<entry kind="includePath" name="/hello_world_bsp">
-							<flag value="VALUE_WORKSPACE_PATH|RESOLVED"/>
-						</entry>
-						<entry kind="includePath" name="/hello_world_bsp/drivers/inc">
-							<flag value="VALUE_WORKSPACE_PATH|RESOLVED"/>
-						</entry>
-						<entry kind="macro" name="ALT_NO_INSTRUCTION_EMULATION" value=""/>
-						<entry kind="macro" name="ALT_SINGLE_THREADED" value=""/>
-						<entry kind="macro" name="__hal__" value=""/>
-					</resource>
-					<resource project-relative-path="HAL/src/alt_remap_cached.c">
-						<entry kind="includePath" name="/hello_world_bsp/HAL/inc">
-							<flag value="VALUE_WORKSPACE_PATH|RESOLVED"/>
-						</entry>
-						<entry kind="includePath" name="/hello_world_bsp">
-							<flag value="VALUE_WORKSPACE_PATH|RESOLVED"/>
-						</entry>
-						<entry kind="includePath" name="/hello_world_bsp/drivers/inc">
-							<flag value="VALUE_WORKSPACE_PATH|RESOLVED"/>
-						</entry>
-						<entry kind="macro" name="ALT_NO_INSTRUCTION_EMULATION" value=""/>
-						<entry kind="macro" name="ALT_SINGLE_THREADED" value=""/>
-						<entry kind="macro" name="__hal__" value=""/>
-					</resource>
-					<resource project-relative-path="HAL/src/alt_remap_uncached.c">
-						<entry kind="includePath" name="/hello_world_bsp/HAL/inc">
-							<flag value="VALUE_WORKSPACE_PATH|RESOLVED"/>
-						</entry>
-						<entry kind="includePath" name="/hello_world_bsp">
-							<flag value="VALUE_WORKSPACE_PATH|RESOLVED"/>
-						</entry>
-						<entry kind="includePath" name="/hello_world_bsp/drivers/inc">
-							<flag value="VALUE_WORKSPACE_PATH|RESOLVED"/>
-						</entry>
-						<entry kind="macro" name="ALT_NO_INSTRUCTION_EMULATION" value=""/>
-						<entry kind="macro" name="ALT_SINGLE_THREADED" value=""/>
-						<entry kind="macro" name="__hal__" value=""/>
-					</resource>
-					<resource project-relative-path="HAL/src/alt_stat.c">
-						<entry kind="includePath" name="/hello_world_bsp/HAL/inc">
-							<flag value="VALUE_WORKSPACE_PATH|RESOLVED"/>
-						</entry>
-						<entry kind="includePath" name="/hello_world_bsp">
-							<flag value="VALUE_WORKSPACE_PATH|RESOLVED"/>
-						</entry>
-						<entry kind="includePath" name="/hello_world_bsp/drivers/inc">
-							<flag value="VALUE_WORKSPACE_PATH|RESOLVED"/>
-						</entry>
-						<entry kind="macro" name="ALT_NO_INSTRUCTION_EMULATION" value=""/>
-						<entry kind="macro" name="ALT_SINGLE_THREADED" value=""/>
-						<entry kind="macro" name="__hal__" value=""/>
-					</resource>
-					<resource project-relative-path="drivers/src/altera_avalon_jtag_uart_fd.c">
-						<entry kind="includePath" name="/hello_world_bsp/HAL/inc">
-							<flag value="VALUE_WORKSPACE_PATH|RESOLVED"/>
-						</entry>
-						<entry kind="includePath" name="/hello_world_bsp">
-							<flag value="VALUE_WORKSPACE_PATH|RESOLVED"/>
-						</entry>
-						<entry kind="includePath" name="/hello_world_bsp/drivers/inc">
-							<flag value="VALUE_WORKSPACE_PATH|RESOLVED"/>
-						</entry>
-						<entry kind="macro" name="ALT_NO_INSTRUCTION_EMULATION" value=""/>
-						<entry kind="macro" name="ALT_SINGLE_THREADED" value=""/>
-						<entry kind="macro" name="__hal__" value=""/>
-					</resource>
-					<resource project-relative-path="HAL/src/alt_dcache_flush_all.c">
-						<entry kind="includePath" name="/hello_world_bsp/HAL/inc">
-							<flag value="VALUE_WORKSPACE_PATH|RESOLVED"/>
-						</entry>
-						<entry kind="includePath" name="/hello_world_bsp">
-							<flag value="VALUE_WORKSPACE_PATH|RESOLVED"/>
-						</entry>
-						<entry kind="includePath" name="/hello_world_bsp/drivers/inc">
-							<flag value="VALUE_WORKSPACE_PATH|RESOLVED"/>
-						</entry>
-						<entry kind="macro" name="ALT_NO_INSTRUCTION_EMULATION" value=""/>
-						<entry kind="macro" name="ALT_SINGLE_THREADED" value=""/>
-						<entry kind="macro" name="__hal__" value=""/>
-					</resource>
-					<resource project-relative-path="HAL/src/alt_errno.c">
-						<entry kind="includePath" name="/hello_world_bsp/HAL/inc">
-							<flag value="VALUE_WORKSPACE_PATH|RESOLVED"/>
-						</entry>
-						<entry kind="includePath" name="/hello_world_bsp">
-							<flag value="VALUE_WORKSPACE_PATH|RESOLVED"/>
-						</entry>
-						<entry kind="includePath" name="/hello_world_bsp/drivers/inc">
-							<flag value="VALUE_WORKSPACE_PATH|RESOLVED"/>
-						</entry>
-						<entry kind="macro" name="ALT_NO_INSTRUCTION_EMULATION" value=""/>
-						<entry kind="macro" name="ALT_SINGLE_THREADED" value=""/>
-						<entry kind="macro" name="__hal__" value=""/>
-					</resource>
-					<resource project-relative-path="HAL/src/alt_exit.c">
-						<entry kind="includePath" name="/hello_world_bsp/HAL/inc">
-							<flag value="VALUE_WORKSPACE_PATH|RESOLVED"/>
-						</entry>
-						<entry kind="includePath" name="/hello_world_bsp">
-							<flag value="VALUE_WORKSPACE_PATH|RESOLVED"/>
-						</entry>
-						<entry kind="includePath" name="/hello_world_bsp/drivers/inc">
-							<flag value="VALUE_WORKSPACE_PATH|RESOLVED"/>
-						</entry>
-						<entry kind="macro" name="ALT_NO_INSTRUCTION_EMULATION" value=""/>
-						<entry kind="macro" name="ALT_SINGLE_THREADED" value=""/>
-						<entry kind="macro" name="__hal__" value=""/>
-					</resource>
-					<resource project-relative-path="HAL/src/alt_icache_flush_all.c">
-						<entry kind="includePath" name="/hello_world_bsp/HAL/inc">
-							<flag value="VALUE_WORKSPACE_PATH|RESOLVED"/>
-						</entry>
-						<entry kind="includePath" name="/hello_world_bsp">
-							<flag value="VALUE_WORKSPACE_PATH|RESOLVED"/>
-						</entry>
-						<entry kind="includePath" name="/hello_world_bsp/drivers/inc">
-							<flag value="VALUE_WORKSPACE_PATH|RESOLVED"/>
-						</entry>
-						<entry kind="macro" name="ALT_NO_INSTRUCTION_EMULATION" value=""/>
-						<entry kind="macro" name="ALT_SINGLE_THREADED" value=""/>
-						<entry kind="macro" name="__hal__" value=""/>
-					</resource>
-					<resource project-relative-path="HAL/src/alt_alarm_start.c">
-						<entry kind="includePath" name="/hello_world_bsp/HAL/inc">
-							<flag value="VALUE_WORKSPACE_PATH|RESOLVED"/>
-						</entry>
-						<entry kind="includePath" name="/hello_world_bsp">
-							<flag value="VALUE_WORKSPACE_PATH|RESOLVED"/>
-						</entry>
-						<entry kind="includePath" name="/hello_world_bsp/drivers/inc">
-							<flag value="VALUE_WORKSPACE_PATH|RESOLVED"/>
-						</entry>
-						<entry kind="macro" name="ALT_NO_INSTRUCTION_EMULATION" value=""/>
-						<entry kind="macro" name="ALT_SINGLE_THREADED" value=""/>
-						<entry kind="macro" name="__hal__" value=""/>
-					</resource>
-					<resource project-relative-path="HAL/src/alt_dcache_flush_no_writeback.c">
-						<entry kind="includePath" name="/hello_world_bsp/HAL/inc">
-							<flag value="VALUE_WORKSPACE_PATH|RESOLVED"/>
-						</entry>
-						<entry kind="includePath" name="/hello_world_bsp">
-							<flag value="VALUE_WORKSPACE_PATH|RESOLVED"/>
-						</entry>
-						<entry kind="includePath" name="/hello_world_bsp/drivers/inc">
-							<flag value="VALUE_WORKSPACE_PATH|RESOLVED"/>
-						</entry>
-						<entry kind="macro" name="ALT_NO_INSTRUCTION_EMULATION" value=""/>
-						<entry kind="macro" name="ALT_SINGLE_THREADED" value=""/>
-						<entry kind="macro" name="__hal__" value=""/>
-					</resource>
-					<resource project-relative-path="HAL/src/alt_get_fd.c">
-						<entry kind="includePath" name="/hello_world_bsp/HAL/inc">
-							<flag value="VALUE_WORKSPACE_PATH|RESOLVED"/>
-						</entry>
-						<entry kind="includePath" name="/hello_world_bsp">
-							<flag value="VALUE_WORKSPACE_PATH|RESOLVED"/>
-						</entry>
-						<entry kind="includePath" name="/hello_world_bsp/drivers/inc">
-							<flag value="VALUE_WORKSPACE_PATH|RESOLVED"/>
-						</entry>
-						<entry kind="macro" name="ALT_NO_INSTRUCTION_EMULATION" value=""/>
-						<entry kind="macro" name="ALT_SINGLE_THREADED" value=""/>
-						<entry kind="macro" name="__hal__" value=""/>
-					</resource>
-					<resource project-relative-path="HAL/src/alt_dev.c">
-						<entry kind="includePath" name="/hello_world_bsp/HAL/inc">
-							<flag value="VALUE_WORKSPACE_PATH|RESOLVED"/>
-						</entry>
-						<entry kind="includePath" name="/hello_world_bsp">
-							<flag value="VALUE_WORKSPACE_PATH|RESOLVED"/>
-						</entry>
-						<entry kind="includePath" name="/hello_world_bsp/drivers/inc">
-							<flag value="VALUE_WORKSPACE_PATH|RESOLVED"/>
-						</entry>
-						<entry kind="macro" name="ALT_NO_INSTRUCTION_EMULATION" value=""/>
-						<entry kind="macro" name="ALT_SINGLE_THREADED" value=""/>
-						<entry kind="macro" name="__hal__" value=""/>
-					</resource>
-					<resource project-relative-path="HAL/src/alt_putchar.c">
-						<entry kind="includePath" name="/hello_world_bsp/HAL/inc">
-							<flag value="VALUE_WORKSPACE_PATH|RESOLVED"/>
-						</entry>
-						<entry kind="includePath" name="/hello_world_bsp">
-							<flag value="VALUE_WORKSPACE_PATH|RESOLVED"/>
-						</entry>
-						<entry kind="includePath" name="/hello_world_bsp/drivers/inc">
-							<flag value="VALUE_WORKSPACE_PATH|RESOLVED"/>
-						</entry>
-						<entry kind="macro" name="ALT_NO_INSTRUCTION_EMULATION" value=""/>
-						<entry kind="macro" name="ALT_SINGLE_THREADED" value=""/>
-						<entry kind="macro" name="__hal__" value=""/>
-					</resource>
-					<resource project-relative-path="HAL/src/alt_tick.c">
-						<entry kind="includePath" name="/hello_world_bsp/HAL/inc">
-							<flag value="VALUE_WORKSPACE_PATH|RESOLVED"/>
-						</entry>
-						<entry kind="includePath" name="/hello_world_bsp">
-							<flag value="VALUE_WORKSPACE_PATH|RESOLVED"/>
-						</entry>
-						<entry kind="includePath" name="/hello_world_bsp/drivers/inc">
-							<flag value="VALUE_WORKSPACE_PATH|RESOLVED"/>
-						</entry>
-						<entry kind="macro" name="ALT_NO_INSTRUCTION_EMULATION" value=""/>
-						<entry kind="macro" name="ALT_SINGLE_THREADED" value=""/>
-						<entry kind="macro" name="__hal__" value=""/>
-					</resource>
-					<resource project-relative-path="HAL/src/alt_close.c">
-						<entry kind="includePath" name="/hello_world_bsp/HAL/inc">
-							<flag value="VALUE_WORKSPACE_PATH|RESOLVED"/>
-						</entry>
-						<entry kind="includePath" name="/hello_world_bsp">
-							<flag value="VALUE_WORKSPACE_PATH|RESOLVED"/>
-						</entry>
-						<entry kind="includePath" name="/hello_world_bsp/drivers/inc">
-							<flag value="VALUE_WORKSPACE_PATH|RESOLVED"/>
-						</entry>
-						<entry kind="macro" name="ALT_NO_INSTRUCTION_EMULATION" value=""/>
-						<entry kind="macro" name="ALT_SINGLE_THREADED" value=""/>
-						<entry kind="macro" name="__hal__" value=""/>
-					</resource>
-					<resource project-relative-path="HAL/src/alt_link.c">
-						<entry kind="includePath" name="/hello_world_bsp/HAL/inc">
-							<flag value="VALUE_WORKSPACE_PATH|RESOLVED"/>
-						</entry>
-						<entry kind="includePath" name="/hello_world_bsp">
-							<flag value="VALUE_WORKSPACE_PATH|RESOLVED"/>
-						</entry>
-						<entry kind="includePath" name="/hello_world_bsp/drivers/inc">
-							<flag value="VALUE_WORKSPACE_PATH|RESOLVED"/>
-						</entry>
-						<entry kind="macro" name="ALT_NO_INSTRUCTION_EMULATION" value=""/>
-						<entry kind="macro" name="ALT_SINGLE_THREADED" value=""/>
-						<entry kind="macro" name="__hal__" value=""/>
-					</resource>
-					<resource project-relative-path="HAL/src/alt_io_redirect.c">
-						<entry kind="includePath" name="/hello_world_bsp/HAL/inc">
-							<flag value="VALUE_WORKSPACE_PATH|RESOLVED"/>
-						</entry>
-						<entry kind="includePath" name="/hello_world_bsp">
-							<flag value="VALUE_WORKSPACE_PATH|RESOLVED"/>
-						</entry>
-						<entry kind="includePath" name="/hello_world_bsp/drivers/inc">
-							<flag value="VALUE_WORKSPACE_PATH|RESOLVED"/>
-						</entry>
-						<entry kind="macro" name="ALT_NO_INSTRUCTION_EMULATION" value=""/>
-						<entry kind="macro" name="ALT_SINGLE_THREADED" value=""/>
-						<entry kind="macro" name="__hal__" value=""/>
-					</resource>
-					<resource project-relative-path="HAL/src/alt_irq_handler.c">
-						<entry kind="includePath" name="/hello_world_bsp/HAL/inc">
-							<flag value="VALUE_WORKSPACE_PATH|RESOLVED"/>
-						</entry>
-						<entry kind="includePath" name="/hello_world_bsp">
-							<flag value="VALUE_WORKSPACE_PATH|RESOLVED"/>
-						</entry>
-						<entry kind="includePath" name="/hello_world_bsp/drivers/inc">
-							<flag value="VALUE_WORKSPACE_PATH|RESOLVED"/>
-						</entry>
-						<entry kind="macro" name="ALT_NO_INSTRUCTION_EMULATION" value=""/>
-						<entry kind="macro" name="ALT_SINGLE_THREADED" value=""/>
-						<entry kind="macro" name="__hal__" value=""/>
-					</resource>
-					<resource project-relative-path="HAL/src/alt_write.c">
-						<entry kind="includePath" name="/hello_world_bsp/HAL/inc">
-							<flag value="VALUE_WORKSPACE_PATH|RESOLVED"/>
-						</entry>
-						<entry kind="includePath" name="/hello_world_bsp">
-							<flag value="VALUE_WORKSPACE_PATH|RESOLVED"/>
-						</entry>
-						<entry kind="includePath" name="/hello_world_bsp/drivers/inc">
-							<flag value="VALUE_WORKSPACE_PATH|RESOLVED"/>
-						</entry>
-						<entry kind="macro" name="ALT_NO_INSTRUCTION_EMULATION" value=""/>
-						<entry kind="macro" name="ALT_SINGLE_THREADED" value=""/>
-						<entry kind="macro" name="__hal__" value=""/>
-					</resource>
-					<resource project-relative-path="HAL/src/alt_iic.c">
-						<entry kind="includePath" name="/hello_world_bsp/HAL/inc">
-							<flag value="VALUE_WORKSPACE_PATH|RESOLVED"/>
-						</entry>
-						<entry kind="includePath" name="/hello_world_bsp">
-							<flag value="VALUE_WORKSPACE_PATH|RESOLVED"/>
-						</entry>
-						<entry kind="includePath" name="/hello_world_bsp/drivers/inc">
-							<flag value="VALUE_WORKSPACE_PATH|RESOLVED"/>
-						</entry>
-						<entry kind="macro" name="ALT_NO_INSTRUCTION_EMULATION" value=""/>
-						<entry kind="macro" name="ALT_SINGLE_THREADED" value=""/>
-						<entry kind="macro" name="__hal__" value=""/>
-					</resource>
-					<resource project-relative-path="HAL/src/alt_ecc_fatal_exception.c">
-						<entry kind="includePath" name="/hello_world_bsp/HAL/inc">
-							<flag value="VALUE_WORKSPACE_PATH|RESOLVED"/>
-						</entry>
-						<entry kind="includePath" name="/hello_world_bsp">
-							<flag value="VALUE_WORKSPACE_PATH|RESOLVED"/>
-						</entry>
-						<entry kind="includePath" name="/hello_world_bsp/drivers/inc">
-							<flag value="VALUE_WORKSPACE_PATH|RESOLVED"/>
-						</entry>
-						<entry kind="macro" name="ALT_NO_INSTRUCTION_EMULATION" value=""/>
-						<entry kind="macro" name="ALT_SINGLE_THREADED" value=""/>
-						<entry kind="macro" name="__hal__" value=""/>
-					</resource>
-					<resource project-relative-path="HAL/src/alt_fork.c">
-						<entry kind="includePath" name="/hello_world_bsp/HAL/inc">
-							<flag value="VALUE_WORKSPACE_PATH|RESOLVED"/>
-						</entry>
-						<entry kind="includePath" name="/hello_world_bsp">
-							<flag value="VALUE_WORKSPACE_PATH|RESOLVED"/>
-						</entry>
-						<entry kind="includePath" name="/hello_world_bsp/drivers/inc">
-							<flag value="VALUE_WORKSPACE_PATH|RESOLVED"/>
-						</entry>
-						<entry kind="macro" name="ALT_NO_INSTRUCTION_EMULATION" value=""/>
-						<entry kind="macro" name="ALT_SINGLE_THREADED" value=""/>
-						<entry kind="macro" name="__hal__" value=""/>
-					</resource>
-					<resource project-relative-path="HAL/src/alt_dma_rxchan_open.c">
-						<entry kind="includePath" name="/hello_world_bsp/HAL/inc">
-							<flag value="VALUE_WORKSPACE_PATH|RESOLVED"/>
-						</entry>
-						<entry kind="includePath" name="/hello_world_bsp">
-							<flag value="VALUE_WORKSPACE_PATH|RESOLVED"/>
-						</entry>
-						<entry kind="includePath" name="/hello_world_bsp/drivers/inc">
-							<flag value="VALUE_WORKSPACE_PATH|RESOLVED"/>
-						</entry>
-						<entry kind="macro" name="ALT_NO_INSTRUCTION_EMULATION" value=""/>
-						<entry kind="macro" name="ALT_SINGLE_THREADED" value=""/>
-						<entry kind="macro" name="__hal__" value=""/>
-					</resource>
-					<resource project-relative-path="HAL/src/alt_settod.c">
-						<entry kind="includePath" name="/hello_world_bsp/HAL/inc">
-							<flag value="VALUE_WORKSPACE_PATH|RESOLVED"/>
-						</entry>
-						<entry kind="includePath" name="/hello_world_bsp">
-							<flag value="VALUE_WORKSPACE_PATH|RESOLVED"/>
-						</entry>
-						<entry kind="includePath" name="/hello_world_bsp/drivers/inc">
-							<flag value="VALUE_WORKSPACE_PATH|RESOLVED"/>
-						</entry>
-						<entry kind="macro" name="ALT_NO_INSTRUCTION_EMULATION" value=""/>
-						<entry kind="macro" name="ALT_SINGLE_THREADED" value=""/>
-						<entry kind="macro" name="__hal__" value=""/>
-					</resource>
-					<resource project-relative-path="HAL/src/alt_find_file.c">
-						<entry kind="includePath" name="/hello_world_bsp/HAL/inc">
-							<flag value="VALUE_WORKSPACE_PATH|RESOLVED"/>
-						</entry>
-						<entry kind="includePath" name="/hello_world_bsp">
-							<flag value="VALUE_WORKSPACE_PATH|RESOLVED"/>
-						</entry>
-						<entry kind="includePath" name="/hello_world_bsp/drivers/inc">
-							<flag value="VALUE_WORKSPACE_PATH|RESOLVED"/>
-						</entry>
-						<entry kind="macro" name="ALT_NO_INSTRUCTION_EMULATION" value=""/>
-						<entry kind="macro" name="ALT_SINGLE_THREADED" value=""/>
-						<entry kind="macro" name="__hal__" value=""/>
-					</resource>
-					<resource project-relative-path="HAL/src/alt_dcache_flush.c">
-						<entry kind="includePath" name="/hello_world_bsp/HAL/inc">
-							<flag value="VALUE_WORKSPACE_PATH|RESOLVED"/>
-						</entry>
-						<entry kind="includePath" name="/hello_world_bsp">
-							<flag value="VALUE_WORKSPACE_PATH|RESOLVED"/>
-						</entry>
-						<entry kind="includePath" name="/hello_world_bsp/drivers/inc">
-							<flag value="VALUE_WORKSPACE_PATH|RESOLVED"/>
-						</entry>
-						<entry kind="macro" name="ALT_NO_INSTRUCTION_EMULATION" value=""/>
-						<entry kind="macro" name="ALT_SINGLE_THREADED" value=""/>
-						<entry kind="macro" name="__hal__" value=""/>
-					</resource>
-					<resource project-relative-path="HAL/src/alt_dma_txchan_open.c">
-						<entry kind="includePath" name="/hello_world_bsp/HAL/inc">
-							<flag value="VALUE_WORKSPACE_PATH|RESOLVED"/>
-						</entry>
-						<entry kind="includePath" name="/hello_world_bsp">
-							<flag value="VALUE_WORKSPACE_PATH|RESOLVED"/>
-						</entry>
-						<entry kind="includePath" name="/hello_world_bsp/drivers/inc">
-							<flag value="VALUE_WORKSPACE_PATH|RESOLVED"/>
-						</entry>
-						<entry kind="macro" name="ALT_NO_INSTRUCTION_EMULATION" value=""/>
-						<entry kind="macro" name="ALT_SINGLE_THREADED" value=""/>
-						<entry kind="macro" name="__hal__" value=""/>
-					</resource>
-					<resource project-relative-path="HAL/src/alt_read.c">
-						<entry kind="includePath" name="/hello_world_bsp/HAL/inc">
-							<flag value="VALUE_WORKSPACE_PATH|RESOLVED"/>
-						</entry>
-						<entry kind="includePath" name="/hello_world_bsp">
-							<flag value="VALUE_WORKSPACE_PATH|RESOLVED"/>
-						</entry>
-						<entry kind="includePath" name="/hello_world_bsp/drivers/inc">
-							<flag value="VALUE_WORKSPACE_PATH|RESOLVED"/>
-						</entry>
-						<entry kind="macro" name="ALT_NO_INSTRUCTION_EMULATION" value=""/>
-						<entry kind="macro" name="ALT_SINGLE_THREADED" value=""/>
-						<entry kind="macro" name="__hal__" value=""/>
-					</resource>
-					<resource project-relative-path="HAL/src/alt_busy_sleep.c">
-						<entry kind="includePath" name="/hello_world_bsp/HAL/inc">
-							<flag value="VALUE_WORKSPACE_PATH|RESOLVED"/>
-						</entry>
-						<entry kind="includePath" name="/hello_world_bsp">
-							<flag value="VALUE_WORKSPACE_PATH|RESOLVED"/>
-						</entry>
-						<entry kind="includePath" name="/hello_world_bsp/drivers/inc">
-							<flag value="VALUE_WORKSPACE_PATH|RESOLVED"/>
-						</entry>
-						<entry kind="macro" name="ALT_NO_INSTRUCTION_EMULATION" value=""/>
-						<entry kind="macro" name="ALT_SINGLE_THREADED" value=""/>
-						<entry kind="macro" name="__hal__" value=""/>
-					</resource>
-					<resource project-relative-path="HAL/src/alt_fstat.c">
-						<entry kind="includePath" name="/hello_world_bsp/HAL/inc">
-							<flag value="VALUE_WORKSPACE_PATH|RESOLVED"/>
-						</entry>
-						<entry kind="includePath" name="/hello_world_bsp">
-							<flag value="VALUE_WORKSPACE_PATH|RESOLVED"/>
-						</entry>
-						<entry kind="includePath" name="/hello_world_bsp/drivers/inc">
-							<flag value="VALUE_WORKSPACE_PATH|RESOLVED"/>
-						</entry>
-						<entry kind="macro" name="ALT_NO_INSTRUCTION_EMULATION" value=""/>
-						<entry kind="macro" name="ALT_SINGLE_THREADED" value=""/>
-						<entry kind="macro" name="__hal__" value=""/>
-					</resource>
-					<resource project-relative-path="HAL/src/alt_do_ctors.c">
-						<entry kind="includePath" name="/hello_world_bsp/HAL/inc">
-							<flag value="VALUE_WORKSPACE_PATH|RESOLVED"/>
-						</entry>
-						<entry kind="includePath" name="/hello_world_bsp">
-							<flag value="VALUE_WORKSPACE_PATH|RESOLVED"/>
-						</entry>
-						<entry kind="includePath" name="/hello_world_bsp/drivers/inc">
-							<flag value="VALUE_WORKSPACE_PATH|RESOLVED"/>
-						</entry>
-						<entry kind="macro" name="ALT_NO_INSTRUCTION_EMULATION" value=""/>
-						<entry kind="macro" name="ALT_SINGLE_THREADED" value=""/>
-						<entry kind="macro" name="__hal__" value=""/>
-					</resource>
-					<resource project-relative-path="HAL/src/alt_getchar.c">
-						<entry kind="includePath" name="/hello_world_bsp/HAL/inc">
-							<flag value="VALUE_WORKSPACE_PATH|RESOLVED"/>
-						</entry>
-						<entry kind="includePath" name="/hello_world_bsp">
-							<flag value="VALUE_WORKSPACE_PATH|RESOLVED"/>
-						</entry>
-						<entry kind="includePath" name="/hello_world_bsp/drivers/inc">
-							<flag value="VALUE_WORKSPACE_PATH|RESOLVED"/>
-						</entry>
-						<entry kind="macro" name="ALT_NO_INSTRUCTION_EMULATION" value=""/>
-						<entry kind="macro" name="ALT_SINGLE_THREADED" value=""/>
-						<entry kind="macro" name="__hal__" value=""/>
-					</resource>
-					<resource project-relative-path="HAL/src/alt_sbrk.c">
-						<entry kind="includePath" name="/hello_world_bsp/HAL/inc">
-							<flag value="VALUE_WORKSPACE_PATH|RESOLVED"/>
-						</entry>
-						<entry kind="includePath" name="/hello_world_bsp">
-							<flag value="VALUE_WORKSPACE_PATH|RESOLVED"/>
-						</entry>
-						<entry kind="includePath" name="/hello_world_bsp/drivers/inc">
-							<flag value="VALUE_WORKSPACE_PATH|RESOLVED"/>
-						</entry>
-						<entry kind="macro" name="ALT_NO_INSTRUCTION_EMULATION" value=""/>
-						<entry kind="macro" name="ALT_SINGLE_THREADED" value=""/>
-						<entry kind="macro" name="__hal__" value=""/>
-					</resource>
-					<resource project-relative-path="HAL/src/alt_fs_reg.c">
-						<entry kind="includePath" name="/hello_world_bsp/HAL/inc">
-							<flag value="VALUE_WORKSPACE_PATH|RESOLVED"/>
-						</entry>
-						<entry kind="includePath" name="/hello_world_bsp">
-							<flag value="VALUE_WORKSPACE_PATH|RESOLVED"/>
-						</entry>
-						<entry kind="includePath" name="/hello_world_bsp/drivers/inc">
-							<flag value="VALUE_WORKSPACE_PATH|RESOLVED"/>
-						</entry>
-						<entry kind="macro" name="ALT_NO_INSTRUCTION_EMULATION" value=""/>
-						<entry kind="macro" name="ALT_SINGLE_THREADED" value=""/>
-						<entry kind="macro" name="__hal__" value=""/>
-					</resource>
-					<resource project-relative-path="HAL/src/alt_malloc_lock.c">
-						<entry kind="includePath" name="/hello_world_bsp/HAL/inc">
-							<flag value="VALUE_WORKSPACE_PATH|RESOLVED"/>
-						</entry>
-						<entry kind="includePath" name="/hello_world_bsp">
-							<flag value="VALUE_WORKSPACE_PATH|RESOLVED"/>
-						</entry>
-						<entry kind="includePath" name="/hello_world_bsp/drivers/inc">
-							<flag value="VALUE_WORKSPACE_PATH|RESOLVED"/>
-						</entry>
-						<entry kind="macro" name="ALT_NO_INSTRUCTION_EMULATION" value=""/>
-						<entry kind="macro" name="ALT_SINGLE_THREADED" value=""/>
-						<entry kind="macro" name="__hal__" value=""/>
-					</resource>
-					<resource project-relative-path="HAL/src/alt_rename.c">
-						<entry kind="includePath" name="/hello_world_bsp/HAL/inc">
-							<flag value="VALUE_WORKSPACE_PATH|RESOLVED"/>
-						</entry>
-						<entry kind="includePath" name="/hello_world_bsp">
-							<flag value="VALUE_WORKSPACE_PATH|RESOLVED"/>
-						</entry>
-						<entry kind="includePath" name="/hello_world_bsp/drivers/inc">
-							<flag value="VALUE_WORKSPACE_PATH|RESOLVED"/>
-						</entry>
-						<entry kind="macro" name="ALT_NO_INSTRUCTION_EMULATION" value=""/>
-						<entry kind="macro" name="ALT_SINGLE_THREADED" value=""/>
-						<entry kind="macro" name="__hal__" value=""/>
-					</resource>
-					<resource project-relative-path="HAL/src/alt_flash_dev.c">
-						<entry kind="includePath" name="/hello_world_bsp/HAL/inc">
-							<flag value="VALUE_WORKSPACE_PATH|RESOLVED"/>
-						</entry>
-						<entry kind="includePath" name="/hello_world_bsp">
-							<flag value="VALUE_WORKSPACE_PATH|RESOLVED"/>
-						</entry>
-						<entry kind="includePath" name="/hello_world_bsp/drivers/inc">
-							<flag value="VALUE_WORKSPACE_PATH|RESOLVED"/>
-						</entry>
-						<entry kind="macro" name="ALT_NO_INSTRUCTION_EMULATION" value=""/>
-						<entry kind="macro" name="ALT_SINGLE_THREADED" value=""/>
-						<entry kind="macro" name="__hal__" value=""/>
-					</resource>
-					<resource project-relative-path="HAL/src/alt_instruction_exception_register.c">
-						<entry kind="includePath" name="/hello_world_bsp/HAL/inc">
-							<flag value="VALUE_WORKSPACE_PATH|RESOLVED"/>
-						</entry>
-						<entry kind="includePath" name="/hello_world_bsp">
-							<flag value="VALUE_WORKSPACE_PATH|RESOLVED"/>
-						</entry>
-						<entry kind="includePath" name="/hello_world_bsp/drivers/inc">
-							<flag value="VALUE_WORKSPACE_PATH|RESOLVED"/>
-						</entry>
-						<entry kind="macro" name="ALT_NO_INSTRUCTION_EMULATION" value=""/>
-						<entry kind="macro" name="ALT_SINGLE_THREADED" value=""/>
-						<entry kind="macro" name="__hal__" value=""/>
-					</resource>
-					<resource project-relative-path="HAL/src/alt_open.c">
-						<entry kind="includePath" name="/hello_world_bsp/HAL/inc">
-							<flag value="VALUE_WORKSPACE_PATH|RESOLVED"/>
-						</entry>
-						<entry kind="includePath" name="/hello_world_bsp">
-							<flag value="VALUE_WORKSPACE_PATH|RESOLVED"/>
-						</entry>
-						<entry kind="includePath" name="/hello_world_bsp/drivers/inc">
-							<flag value="VALUE_WORKSPACE_PATH|RESOLVED"/>
-						</entry>
-						<entry kind="macro" name="ALT_NO_INSTRUCTION_EMULATION" value=""/>
-						<entry kind="macro" name="ALT_SINGLE_THREADED" value=""/>
-						<entry kind="macro" name="__hal__" value=""/>
-					</resource>
-					<resource project-relative-path="HAL/src/alt_find_dev.c">
-						<entry kind="includePath" name="/hello_world_bsp/HAL/inc">
-							<flag value="VALUE_WORKSPACE_PATH|RESOLVED"/>
-						</entry>
-						<entry kind="includePath" name="/hello_world_bsp">
-							<flag value="VALUE_WORKSPACE_PATH|RESOLVED"/>
-						</entry>
-						<entry kind="includePath" name="/hello_world_bsp/drivers/inc">
-							<flag value="VALUE_WORKSPACE_PATH|RESOLVED"/>
-						</entry>
-						<entry kind="macro" name="ALT_NO_INSTRUCTION_EMULATION" value=""/>
-						<entry kind="macro" name="ALT_SINGLE_THREADED" value=""/>
-						<entry kind="macro" name="__hal__" value=""/>
-					</resource>
-					<resource project-relative-path="HAL/src/alt_gettod.c">
-						<entry kind="includePath" name="/hello_world_bsp/HAL/inc">
-							<flag value="VALUE_WORKSPACE_PATH|RESOLVED"/>
-						</entry>
-						<entry kind="includePath" name="/hello_world_bsp">
-							<flag value="VALUE_WORKSPACE_PATH|RESOLVED"/>
-						</entry>
-						<entry kind="includePath" name="/hello_world_bsp/drivers/inc">
-							<flag value="VALUE_WORKSPACE_PATH|RESOLVED"/>
-						</entry>
-						<entry kind="macro" name="ALT_NO_INSTRUCTION_EMULATION" value=""/>
-						<entry kind="macro" name="ALT_SINGLE_THREADED" value=""/>
-						<entry kind="macro" name="__hal__" value=""/>
-					</resource>
-					<resource project-relative-path="drivers/src/altera_avalon_jtag_uart_write.c">
-						<entry kind="includePath" name="/hello_world_bsp/HAL/inc">
-							<flag value="VALUE_WORKSPACE_PATH|RESOLVED"/>
-						</entry>
-						<entry kind="includePath" name="/hello_world_bsp">
-							<flag value="VALUE_WORKSPACE_PATH|RESOLVED"/>
-						</entry>
-						<entry kind="includePath" name="/hello_world_bsp/drivers/inc">
-							<flag value="VALUE_WORKSPACE_PATH|RESOLVED"/>
-						</entry>
-						<entry kind="macro" name="ALT_NO_INSTRUCTION_EMULATION" value=""/>
-						<entry kind="macro" name="ALT_SINGLE_THREADED" value=""/>
-						<entry kind="macro" name="__hal__" value=""/>
-					</resource>
-					<resource project-relative-path="HAL/src/alt_isatty.c">
-						<entry kind="includePath" name="/hello_world_bsp/HAL/inc">
-							<flag value="VALUE_WORKSPACE_PATH|RESOLVED"/>
-						</entry>
-						<entry kind="includePath" name="/hello_world_bsp">
-							<flag value="VALUE_WORKSPACE_PATH|RESOLVED"/>
-						</entry>
-						<entry kind="includePath" name="/hello_world_bsp/drivers/inc">
-							<flag value="VALUE_WORKSPACE_PATH|RESOLVED"/>
-						</entry>
-						<entry kind="macro" name="ALT_NO_INSTRUCTION_EMULATION" value=""/>
-						<entry kind="macro" name="ALT_SINGLE_THREADED" value=""/>
-						<entry kind="macro" name="__hal__" value=""/>
-					</resource>
-					<resource project-relative-path="HAL/src/alt_lseek.c">
-						<entry kind="includePath" name="/hello_world_bsp/HAL/inc">
-							<flag value="VALUE_WORKSPACE_PATH|RESOLVED"/>
-						</entry>
-						<entry kind="includePath" name="/hello_world_bsp">
-							<flag value="VALUE_WORKSPACE_PATH|RESOLVED"/>
-						</entry>
-						<entry kind="includePath" name="/hello_world_bsp/drivers/inc">
-							<flag value="VALUE_WORKSPACE_PATH|RESOLVED"/>
-						</entry>
-						<entry kind="macro" name="ALT_NO_INSTRUCTION_EMULATION" value=""/>
-						<entry kind="macro" name="ALT_SINGLE_THREADED" value=""/>
-						<entry kind="macro" name="__hal__" value=""/>
-					</resource>
-					<resource project-relative-path="HAL/src/alt_putcharbuf.c">
-						<entry kind="includePath" name="/hello_world_bsp/HAL/inc">
-							<flag value="VALUE_WORKSPACE_PATH|RESOLVED"/>
-						</entry>
-						<entry kind="includePath" name="/hello_world_bsp">
-							<flag value="VALUE_WORKSPACE_PATH|RESOLVED"/>
-						</entry>
-						<entry kind="includePath" name="/hello_world_bsp/drivers/inc">
-							<flag value="VALUE_WORKSPACE_PATH|RESOLVED"/>
-						</entry>
-						<entry kind="macro" name="ALT_NO_INSTRUCTION_EMULATION" value=""/>
-						<entry kind="macro" name="ALT_SINGLE_THREADED" value=""/>
-						<entry kind="macro" name="__hal__" value=""/>
-					</resource>
-					<resource project-relative-path="HAL/src/alt_env_lock.c">
-						<entry kind="includePath" name="/hello_world_bsp/HAL/inc">
-							<flag value="VALUE_WORKSPACE_PATH|RESOLVED"/>
-						</entry>
-						<entry kind="includePath" name="/hello_world_bsp">
-							<flag value="VALUE_WORKSPACE_PATH|RESOLVED"/>
-						</entry>
-						<entry kind="includePath" name="/hello_world_bsp/drivers/inc">
-							<flag value="VALUE_WORKSPACE_PATH|RESOLVED"/>
-						</entry>
-						<entry kind="macro" name="ALT_NO_INSTRUCTION_EMULATION" value=""/>
-						<entry kind="macro" name="ALT_SINGLE_THREADED" value=""/>
-						<entry kind="macro" name="__hal__" value=""/>
-					</resource>
-					<resource project-relative-path="HAL/src/alt_fd_lock.c">
-						<entry kind="includePath" name="/hello_world_bsp/HAL/inc">
-							<flag value="VALUE_WORKSPACE_PATH|RESOLVED"/>
-						</entry>
-						<entry kind="includePath" name="/hello_world_bsp">
-							<flag value="VALUE_WORKSPACE_PATH|RESOLVED"/>
-						</entry>
-						<entry kind="includePath" name="/hello_world_bsp/drivers/inc">
-							<flag value="VALUE_WORKSPACE_PATH|RESOLVED"/>
-						</entry>
-						<entry kind="macro" name="ALT_NO_INSTRUCTION_EMULATION" value=""/>
-						<entry kind="macro" name="ALT_SINGLE_THREADED" value=""/>
-						<entry kind="macro" name="__hal__" value=""/>
-					</resource>
-					<resource project-relative-path="drivers/src/altera_avalon_jtag_uart_init.c">
-						<entry kind="includePath" name="/hello_world_bsp/HAL/inc">
-							<flag value="VALUE_WORKSPACE_PATH|RESOLVED"/>
-						</entry>
-						<entry kind="includePath" name="/hello_world_bsp">
-							<flag value="VALUE_WORKSPACE_PATH|RESOLVED"/>
-						</entry>
-						<entry kind="includePath" name="/hello_world_bsp/drivers/inc">
-							<flag value="VALUE_WORKSPACE_PATH|RESOLVED"/>
-						</entry>
-						<entry kind="macro" name="ALT_NO_INSTRUCTION_EMULATION" value=""/>
-						<entry kind="macro" name="ALT_SINGLE_THREADED" value=""/>
-						<entry kind="macro" name="__hal__" value=""/>
-					</resource>
-					<resource project-relative-path="HAL/src/alt_release_fd.c">
-						<entry kind="includePath" name="/hello_world_bsp/HAL/inc">
-							<flag value="VALUE_WORKSPACE_PATH|RESOLVED"/>
-						</entry>
-						<entry kind="includePath" name="/hello_world_bsp">
-							<flag value="VALUE_WORKSPACE_PATH|RESOLVED"/>
-						</entry>
-						<entry kind="includePath" name="/hello_world_bsp/drivers/inc">
-							<flag value="VALUE_WORKSPACE_PATH|RESOLVED"/>
-						</entry>
-						<entry kind="macro" name="ALT_NO_INSTRUCTION_EMULATION" value=""/>
-						<entry kind="macro" name="ALT_SINGLE_THREADED" value=""/>
-						<entry kind="macro" name="__hal__" value=""/>
-					</resource>
-					<resource project-relative-path="HAL/src/alt_do_dtors.c">
-						<entry kind="includePath" name="/hello_world_bsp/HAL/inc">
-							<flag value="VALUE_WORKSPACE_PATH|RESOLVED"/>
-						</entry>
-						<entry kind="includePath" name="/hello_world_bsp">
-							<flag value="VALUE_WORKSPACE_PATH|RESOLVED"/>
-						</entry>
-						<entry kind="includePath" name="/hello_world_bsp/drivers/inc">
-							<flag value="VALUE_WORKSPACE_PATH|RESOLVED"/>
-						</entry>
-						<entry kind="macro" name="ALT_NO_INSTRUCTION_EMULATION" value=""/>
-						<entry kind="macro" name="ALT_SINGLE_THREADED" value=""/>
-						<entry kind="macro" name="__hal__" value=""/>
-					</resource>
-					<resource project-relative-path="HAL/src/alt_getpid.c">
-						<entry kind="includePath" name="/hello_world_bsp/HAL/inc">
-							<flag value="VALUE_WORKSPACE_PATH|RESOLVED"/>
-						</entry>
-						<entry kind="includePath" name="/hello_world_bsp">
-							<flag value="VALUE_WORKSPACE_PATH|RESOLVED"/>
-						</entry>
-						<entry kind="includePath" name="/hello_world_bsp/drivers/inc">
-							<flag value="VALUE_WORKSPACE_PATH|RESOLVED"/>
-						</entry>
-						<entry kind="macro" name="ALT_NO_INSTRUCTION_EMULATION" value=""/>
-						<entry kind="macro" name="ALT_SINGLE_THREADED" value=""/>
-						<entry kind="macro" name="__hal__" value=""/>
-					</resource>
-					<resource project-relative-path="HAL/src/alt_unlink.c">
-						<entry kind="includePath" name="/hello_world_bsp/HAL/inc">
-							<flag value="VALUE_WORKSPACE_PATH|RESOLVED"/>
-						</entry>
-						<entry kind="includePath" name="/hello_world_bsp">
-							<flag value="VALUE_WORKSPACE_PATH|RESOLVED"/>
-						</entry>
-						<entry kind="includePath" name="/hello_world_bsp/drivers/inc">
-							<flag value="VALUE_WORKSPACE_PATH|RESOLVED"/>
-						</entry>
-						<entry kind="macro" name="ALT_NO_INSTRUCTION_EMULATION" value=""/>
-						<entry kind="macro" name="ALT_SINGLE_THREADED" value=""/>
-						<entry kind="macro" name="__hal__" value=""/>
-					</resource>
-					<resource project-relative-path="HAL/src/alt_load.c">
-						<entry kind="includePath" name="/hello_world_bsp/HAL/inc">
-							<flag value="VALUE_WORKSPACE_PATH|RESOLVED"/>
-						</entry>
-						<entry kind="includePath" name="/hello_world_bsp">
-							<flag value="VALUE_WORKSPACE_PATH|RESOLVED"/>
-						</entry>
-						<entry kind="includePath" name="/hello_world_bsp/drivers/inc">
-							<flag value="VALUE_WORKSPACE_PATH|RESOLVED"/>
-						</entry>
-						<entry kind="macro" name="ALT_NO_INSTRUCTION_EMULATION" value=""/>
-						<entry kind="macro" name="ALT_SINGLE_THREADED" value=""/>
-						<entry kind="macro" name="__hal__" value=""/>
-					</resource>
-					<resource project-relative-path="HAL/src/alt_putstr.c">
-						<entry kind="includePath" name="/hello_world_bsp/HAL/inc">
-							<flag value="VALUE_WORKSPACE_PATH|RESOLVED"/>
-						</entry>
-						<entry kind="includePath" name="/hello_world_bsp">
-							<flag value="VALUE_WORKSPACE_PATH|RESOLVED"/>
-						</entry>
-						<entry kind="includePath" name="/hello_world_bsp/drivers/inc">
-							<flag value="VALUE_WORKSPACE_PATH|RESOLVED"/>
-						</entry>
-						<entry kind="macro" name="ALT_NO_INSTRUCTION_EMULATION" value=""/>
-						<entry kind="macro" name="ALT_SINGLE_THREADED" value=""/>
-						<entry kind="macro" name="__hal__" value=""/>
-					</resource>
-					<resource project-relative-path="HAL/src/alt_times.c">
-						<entry kind="includePath" name="/hello_world_bsp/HAL/inc">
-							<flag value="VALUE_WORKSPACE_PATH|RESOLVED"/>
-						</entry>
-						<entry kind="includePath" name="/hello_world_bsp">
-							<flag value="VALUE_WORKSPACE_PATH|RESOLVED"/>
-						</entry>
-						<entry kind="includePath" name="/hello_world_bsp/drivers/inc">
-							<flag value="VALUE_WORKSPACE_PATH|RESOLVED"/>
-						</entry>
-						<entry kind="macro" name="ALT_NO_INSTRUCTION_EMULATION" value=""/>
-						<entry kind="macro" name="ALT_SINGLE_THREADED" value=""/>
-						<entry kind="macro" name="__hal__" value=""/>
-					</resource>
-					<resource project-relative-path="HAL/src/alt_kill.c">
-						<entry kind="includePath" name="/hello_world_bsp/HAL/inc">
-							<flag value="VALUE_WORKSPACE_PATH|RESOLVED"/>
-						</entry>
-						<entry kind="includePath" name="/hello_world_bsp">
-							<flag value="VALUE_WORKSPACE_PATH|RESOLVED"/>
-						</entry>
-						<entry kind="includePath" name="/hello_world_bsp/drivers/inc">
-							<flag value="VALUE_WORKSPACE_PATH|RESOLVED"/>
-						</entry>
-						<entry kind="macro" name="ALT_NO_INSTRUCTION_EMULATION" value=""/>
-						<entry kind="macro" name="ALT_SINGLE_THREADED" value=""/>
-						<entry kind="macro" name="__hal__" value=""/>
-					</resource>
-					<resource project-relative-path="HAL/src/alt_main.c">
-						<entry kind="includePath" name="/hello_world_bsp/HAL/inc">
-							<flag value="VALUE_WORKSPACE_PATH|RESOLVED"/>
-						</entry>
-						<entry kind="includePath" name="/hello_world_bsp">
-							<flag value="VALUE_WORKSPACE_PATH|RESOLVED"/>
-						</entry>
-						<entry kind="includePath" name="/hello_world_bsp/drivers/inc">
-							<flag value="VALUE_WORKSPACE_PATH|RESOLVED"/>
-						</entry>
-						<entry kind="macro" name="ALT_NO_INSTRUCTION_EMULATION" value=""/>
-						<entry kind="macro" name="ALT_SINGLE_THREADED" value=""/>
-						<entry kind="macro" name="__hal__" value=""/>
-					</resource>
-					<resource project-relative-path="hello_world.c">
-						<entry kind="includePath" name="/hello_world_bsp/HAL/inc">
-							<flag value="VALUE_WORKSPACE_PATH|RESOLVED"/>
-						</entry>
-						<entry kind="includePath" name="/hello_world_bsp">
-							<flag value="VALUE_WORKSPACE_PATH|RESOLVED"/>
-						</entry>
-						<entry kind="includePath" name="/hello_world_bsp/drivers/inc">
-							<flag value="VALUE_WORKSPACE_PATH|RESOLVED"/>
-						</entry>
-						<entry kind="macro" name="ALT_NO_INSTRUCTION_EMULATION" value=""/>
-						<entry kind="macro" name="ALT_SINGLE_THREADED" value=""/>
-						<entry kind="macro" name="__hal__" value=""/>
-					</resource>
-					<resource project-relative-path="HAL/src/altera_nios2_gen2_irq.c">
-						<entry kind="includePath" name="/hello_world_bsp/HAL/inc">
-							<flag value="VALUE_WORKSPACE_PATH|RESOLVED"/>
-						</entry>
-						<entry kind="includePath" name="/hello_world_bsp">
-							<flag value="VALUE_WORKSPACE_PATH|RESOLVED"/>
-						</entry>
-						<entry kind="includePath" name="/hello_world_bsp/drivers/inc">
-							<flag value="VALUE_WORKSPACE_PATH|RESOLVED"/>
-						</entry>
-						<entry kind="macro" name="ALT_NO_INSTRUCTION_EMULATION" value=""/>
-						<entry kind="macro" name="ALT_SINGLE_THREADED" value=""/>
-						<entry kind="macro" name="__hal__" value=""/>
-					</resource>
-					<resource project-relative-path="HAL/src/alt_iic_isr_register.c">
-						<entry kind="includePath" name="/hello_world_bsp/HAL/inc">
-							<flag value="VALUE_WORKSPACE_PATH|RESOLVED"/>
-						</entry>
-						<entry kind="includePath" name="/hello_world_bsp">
-							<flag value="VALUE_WORKSPACE_PATH|RESOLVED"/>
-						</entry>
-						<entry kind="includePath" name="/hello_world_bsp/drivers/inc">
-							<flag value="VALUE_WORKSPACE_PATH|RESOLVED"/>
-						</entry>
-						<entry kind="macro" name="ALT_NO_INSTRUCTION_EMULATION" value=""/>
-						<entry kind="macro" name="ALT_SINGLE_THREADED" value=""/>
-						<entry kind="macro" name="__hal__" value=""/>
-					</resource>
-					<resource project-relative-path="HAL/src/alt_gmon.c">
-						<entry kind="includePath" name="/hello_world_bsp/HAL/inc">
-							<flag value="VALUE_WORKSPACE_PATH|RESOLVED"/>
-						</entry>
-						<entry kind="includePath" name="/hello_world_bsp">
-							<flag value="VALUE_WORKSPACE_PATH|RESOLVED"/>
-						</entry>
-						<entry kind="includePath" name="/hello_world_bsp/drivers/inc">
-							<flag value="VALUE_WORKSPACE_PATH|RESOLVED"/>
-						</entry>
-						<entry kind="macro" name="ALT_NO_INSTRUCTION_EMULATION" value=""/>
-						<entry kind="macro" name="ALT_SINGLE_THREADED" value=""/>
-						<entry kind="macro" name="__hal__" value=""/>
-					</resource>
-					<resource project-relative-path="HAL/src/alt_irq_vars.c">
-						<entry kind="includePath" name="/hello_world_bsp/HAL/inc">
-							<flag value="VALUE_WORKSPACE_PATH|RESOLVED"/>
-						</entry>
-						<entry kind="includePath" name="/hello_world_bsp">
-							<flag value="VALUE_WORKSPACE_PATH|RESOLVED"/>
-						</entry>
-						<entry kind="includePath" name="/hello_world_bsp/drivers/inc">
-							<flag value="VALUE_WORKSPACE_PATH|RESOLVED"/>
-						</entry>
-						<entry kind="macro" name="ALT_NO_INSTRUCTION_EMULATION" value=""/>
-						<entry kind="macro" name="ALT_SINGLE_THREADED" value=""/>
-						<entry kind="macro" name="__hal__" value=""/>
-					</resource>
-				</language>
-			</provider>
-		</extension>
-	</configuration>
-</project>

BIN
software/.metadata/.plugins/org.eclipse.cdt.core/hello_world_bsp.1606398842096.pdom


+ 0 - 1381
software/.metadata/.plugins/org.eclipse.cdt.core/hello_world_bsp.language.settings.xml

@@ -1,1381 +0,0 @@
-<?xml version="1.0" encoding="UTF-8" standalone="no"?>
-<project>
-	<configuration id="preference.org.eclipse.cdt.managedbuilder.core.configurationDataProvider.603004973" name="Nios II">
-		<extension point="org.eclipse.cdt.core.LanguageSettingsProvider">
-			<provider id="altera.tool.Nios2GCCBuiltinSpecsDetector">
-				<language id="org.eclipse.cdt.core.gcc">
-					<entry kind="includePath" name="/opt/intelFPGA/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/lib/gcc/nios2-elf/5.3.0/include">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="includePath" name="/opt/intelFPGA/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/lib/gcc/nios2-elf/5.3.0/include-fixed">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="includePath" name="/opt/intelFPGA/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/nios2-elf/include">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="NIOS2" value="1">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__ATOMIC_ACQUIRE" value="2">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__ATOMIC_ACQ_REL" value="4">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__ATOMIC_CONSUME" value="1">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__ATOMIC_RELAXED" value="0">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__ATOMIC_RELEASE" value="3">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__ATOMIC_SEQ_CST" value="5">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__BIGGEST_ALIGNMENT__" value="4">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__BYTE_ORDER__" value="__ORDER_LITTLE_ENDIAN__">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__CHAR16_TYPE__" value="short unsigned int">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__CHAR32_TYPE__" value="long unsigned int">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__CHAR_BIT__" value="8">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__DBL_DECIMAL_DIG__" value="17">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__DBL_DENORM_MIN__" value="((double)4.9406564584124654e-324L)">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__DBL_DIG__" value="15">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__DBL_EPSILON__" value="((double)2.2204460492503131e-16L)">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__DBL_HAS_DENORM__" value="1">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__DBL_HAS_INFINITY__" value="1">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__DBL_HAS_QUIET_NAN__" value="1">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__DBL_MANT_DIG__" value="53">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__DBL_MAX_10_EXP__" value="308">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__DBL_MAX_EXP__" value="1024">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__DBL_MAX__" value="((double)1.7976931348623157e+308L)">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__DBL_MIN_10_EXP__" value="(-307)">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__DBL_MIN_EXP__" value="(-1021)">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__DBL_MIN__" value="((double)2.2250738585072014e-308L)">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__DEC128_EPSILON__" value="1E-33DL">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__DEC128_MANT_DIG__" value="34">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__DEC128_MAX_EXP__" value="6145">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__DEC128_MAX__" value="9.999999999999999999999999999999999E6144DL">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__DEC128_MIN_EXP__" value="(-6142)">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__DEC128_MIN__" value="1E-6143DL">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__DEC128_SUBNORMAL_MIN__" value="0.000000000000000000000000000000001E-6143DL">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__DEC32_EPSILON__" value="1E-6DF">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__DEC32_MANT_DIG__" value="7">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__DEC32_MAX_EXP__" value="97">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__DEC32_MAX__" value="9.999999E96DF">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__DEC32_MIN_EXP__" value="(-94)">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__DEC32_MIN__" value="1E-95DF">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__DEC32_SUBNORMAL_MIN__" value="0.000001E-95DF">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__DEC64_EPSILON__" value="1E-15DD">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__DEC64_MANT_DIG__" value="16">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__DEC64_MAX_EXP__" value="385">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__DEC64_MAX__" value="9.999999999999999E384DD">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__DEC64_MIN_EXP__" value="(-382)">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__DEC64_MIN__" value="1E-383DD">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__DEC64_SUBNORMAL_MIN__" value="0.000000000000001E-383DD">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__DECIMAL_DIG__" value="17">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__DEC_EVAL_METHOD__" value="2">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__ELF__" value="1">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__FINITE_MATH_ONLY__" value="0">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__FLOAT_WORD_ORDER__" value="__ORDER_LITTLE_ENDIAN__">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__FLT_DECIMAL_DIG__" value="9">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__FLT_DENORM_MIN__" value="1.4012984643248171e-45F">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__FLT_DIG__" value="6">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__FLT_EPSILON__" value="1.1920928955078125e-7F">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__FLT_EVAL_METHOD__" value="0">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__FLT_HAS_DENORM__" value="1">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__FLT_HAS_INFINITY__" value="1">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__FLT_HAS_QUIET_NAN__" value="1">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__FLT_MANT_DIG__" value="24">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__FLT_MAX_10_EXP__" value="38">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__FLT_MAX_EXP__" value="128">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__FLT_MAX__" value="3.4028234663852886e+38F">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__FLT_MIN_10_EXP__" value="(-37)">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__FLT_MIN_EXP__" value="(-125)">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__FLT_MIN__" value="1.1754943508222875e-38F">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__FLT_RADIX__" value="2">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__GCC_ATOMIC_BOOL_LOCK_FREE" value="1">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__GCC_ATOMIC_CHAR16_T_LOCK_FREE" value="1">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__GCC_ATOMIC_CHAR32_T_LOCK_FREE" value="1">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__GCC_ATOMIC_CHAR_LOCK_FREE" value="1">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__GCC_ATOMIC_INT_LOCK_FREE" value="1">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__GCC_ATOMIC_LLONG_LOCK_FREE" value="1">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__GCC_ATOMIC_LONG_LOCK_FREE" value="1">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__GCC_ATOMIC_POINTER_LOCK_FREE" value="1">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__GCC_ATOMIC_SHORT_LOCK_FREE" value="1">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__GCC_ATOMIC_TEST_AND_SET_TRUEVAL" value="1">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__GCC_ATOMIC_WCHAR_T_LOCK_FREE" value="1">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__GCC_IEC_559" value="0">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__GCC_IEC_559_COMPLEX" value="0">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__GNUC_MINOR__" value="3">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__GNUC_PATCHLEVEL__" value="0">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__GNUC_STDC_INLINE__" value="1">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__GNUC__" value="5">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__GXX_ABI_VERSION" value="1009">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__INT16_C(c)" value="c">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__INT16_MAX__" value="0x7fff">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__INT16_TYPE__" value="short int">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__INT32_C(c)" value="c ## L">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__INT32_MAX__" value="0x7fffffffL">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__INT32_TYPE__" value="long int">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__INT64_C(c)" value="c ## LL">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__INT64_MAX__" value="0x7fffffffffffffffLL">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__INT64_TYPE__" value="long long int">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__INT8_C(c)" value="c">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__INT8_MAX__" value="0x7f">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__INT8_TYPE__" value="signed char">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__INTMAX_C(c)" value="c ## LL">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__INTMAX_MAX__" value="0x7fffffffffffffffLL">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__INTMAX_TYPE__" value="long long int">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__INTPTR_MAX__" value="0x7fffffff">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__INTPTR_TYPE__" value="int">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__INT_FAST16_MAX__" value="0x7fffffff">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__INT_FAST16_TYPE__" value="int">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__INT_FAST32_MAX__" value="0x7fffffff">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__INT_FAST32_TYPE__" value="int">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__INT_FAST64_MAX__" value="0x7fffffffffffffffLL">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__INT_FAST64_TYPE__" value="long long int">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__INT_FAST8_MAX__" value="0x7fffffff">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__INT_FAST8_TYPE__" value="int">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__INT_LEAST16_MAX__" value="0x7fff">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__INT_LEAST16_TYPE__" value="short int">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__INT_LEAST32_MAX__" value="0x7fffffffL">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__INT_LEAST32_TYPE__" value="long int">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__INT_LEAST64_MAX__" value="0x7fffffffffffffffLL">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__INT_LEAST64_TYPE__" value="long long int">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__INT_LEAST8_MAX__" value="0x7f">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__INT_LEAST8_TYPE__" value="signed char">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__INT_MAX__" value="0x7fffffff">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__LDBL_DENORM_MIN__" value="4.9406564584124654e-324L">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__LDBL_DIG__" value="15">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__LDBL_EPSILON__" value="2.2204460492503131e-16L">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__LDBL_HAS_DENORM__" value="1">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__LDBL_HAS_INFINITY__" value="1">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__LDBL_HAS_QUIET_NAN__" value="1">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__LDBL_MANT_DIG__" value="53">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__LDBL_MAX_10_EXP__" value="308">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__LDBL_MAX_EXP__" value="1024">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__LDBL_MAX__" value="1.7976931348623157e+308L">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__LDBL_MIN_10_EXP__" value="(-307)">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__LDBL_MIN_EXP__" value="(-1021)">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__LDBL_MIN__" value="2.2250738585072014e-308L">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__LONG_LONG_MAX__" value="0x7fffffffffffffffLL">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__LONG_MAX__" value="0x7fffffffL">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__NIOS2" value="1">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__NIOS2__" value="1">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__NO_INLINE__" value="1">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__ORDER_BIG_ENDIAN__" value="4321">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__ORDER_LITTLE_ENDIAN__" value="1234">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__ORDER_PDP_ENDIAN__" value="3412">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__PRAGMA_REDEFINE_EXTNAME" value="1">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__PTRDIFF_MAX__" value="0x7fffffff">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__PTRDIFF_TYPE__" value="int">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__REGISTER_PREFIX__" value="">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__SCHAR_MAX__" value="0x7f">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__SHRT_MAX__" value="0x7fff">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__SIG_ATOMIC_MAX__" value="0x7fffffff">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__SIG_ATOMIC_MIN__" value="(-__SIG_ATOMIC_MAX__ - 1)">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__SIG_ATOMIC_TYPE__" value="int">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__SIZEOF_DOUBLE__" value="8">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__SIZEOF_FLOAT__" value="4">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__SIZEOF_INT__" value="4">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__SIZEOF_LONG_DOUBLE__" value="8">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__SIZEOF_LONG_LONG__" value="8">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__SIZEOF_LONG__" value="4">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__SIZEOF_POINTER__" value="4">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__SIZEOF_PTRDIFF_T__" value="4">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__SIZEOF_SHORT__" value="2">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__SIZEOF_SIZE_T__" value="4">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__SIZEOF_WCHAR_T__" value="4">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__SIZEOF_WINT_T__" value="4">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__SIZE_MAX__" value="0xffffffffU">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__SIZE_TYPE__" value="unsigned int">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__STDC_HOSTED__" value="1">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__STDC_UTF_16__" value="1">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__STDC_UTF_32__" value="1">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__STDC_VERSION__" value="201112L">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__STDC__" value="1">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__UINT16_C(c)" value="c">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__UINT16_MAX__" value="0xffff">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__UINT16_TYPE__" value="short unsigned int">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__UINT32_C(c)" value="c ## UL">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__UINT32_MAX__" value="0xffffffffUL">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__UINT32_TYPE__" value="long unsigned int">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__UINT64_C(c)" value="c ## ULL">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__UINT64_MAX__" value="0xffffffffffffffffULL">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__UINT64_TYPE__" value="long long unsigned int">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__UINT8_C(c)" value="c">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__UINT8_MAX__" value="0xff">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__UINT8_TYPE__" value="unsigned char">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__UINTMAX_C(c)" value="c ## ULL">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__UINTMAX_MAX__" value="0xffffffffffffffffULL">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__UINTMAX_TYPE__" value="long long unsigned int">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__UINTPTR_MAX__" value="0xffffffffU">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__UINTPTR_TYPE__" value="unsigned int">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__UINT_FAST16_MAX__" value="0xffffffffU">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__UINT_FAST16_TYPE__" value="unsigned int">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__UINT_FAST32_MAX__" value="0xffffffffU">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__UINT_FAST32_TYPE__" value="unsigned int">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__UINT_FAST64_MAX__" value="0xffffffffffffffffULL">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__UINT_FAST64_TYPE__" value="long long unsigned int">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__UINT_FAST8_MAX__" value="0xffffffffU">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__UINT_FAST8_TYPE__" value="unsigned int">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__UINT_LEAST16_MAX__" value="0xffff">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__UINT_LEAST16_TYPE__" value="short unsigned int">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__UINT_LEAST32_MAX__" value="0xffffffffUL">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__UINT_LEAST32_TYPE__" value="long unsigned int">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__UINT_LEAST64_MAX__" value="0xffffffffffffffffULL">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__UINT_LEAST64_TYPE__" value="long long unsigned int">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__UINT_LEAST8_MAX__" value="0xff">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__UINT_LEAST8_TYPE__" value="unsigned char">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__USER_LABEL_PREFIX__" value="">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__USING_SJLJ_EXCEPTIONS__" value="1">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__VERSION__" value="&quot;5.3.0&quot;">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__WCHAR_MAX__" value="0x7fffffff">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__WCHAR_MIN__" value="(-__WCHAR_MAX__ - 1)">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__WCHAR_TYPE__" value="int">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__WINT_MAX__" value="0xffffffffU">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__WINT_MIN__" value="0U">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__WINT_TYPE__" value="unsigned int">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__has_include(STR)" value="__has_include__(STR)">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__has_include_next(STR)" value="__has_include_next__(STR)">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__nios2" value="1">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__nios2__" value="1">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__nios2_arch__" value="1">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__nios2_little_endian" value="1">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__nios2_little_endian__" value="1">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="nios2" value="1">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="nios2_little_endian" value="1">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-				</language>
-				<language id="org.eclipse.cdt.core.g++">
-					<entry kind="includePath" name="/opt/intelFPGA/18.1/nios2eds/bin/gnu/nios2-elf/include/c++/5.3.0">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="includePath" name="/opt/intelFPGA/18.1/nios2eds/bin/gnu/nios2-elf/include/c++/5.3.0/nios2-elf">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="includePath" name="/opt/intelFPGA/18.1/nios2eds/bin/gnu/nios2-elf/include/c++/5.3.0/backward">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="includePath" name="/opt/intelFPGA/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/lib/gcc/nios2-elf/5.3.0/include">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="includePath" name="/opt/intelFPGA/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/lib/gcc/nios2-elf/5.3.0/include-fixed">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="includePath" name="/opt/intelFPGA/18.1/nios2eds/bin/gnu/H-x86_64-pc-linux-gnu/nios2-elf/include">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="NIOS2" value="1">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__ATOMIC_ACQUIRE" value="2">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__ATOMIC_ACQ_REL" value="4">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__ATOMIC_CONSUME" value="1">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__ATOMIC_RELAXED" value="0">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__ATOMIC_RELEASE" value="3">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__ATOMIC_SEQ_CST" value="5">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__BIGGEST_ALIGNMENT__" value="4">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__BYTE_ORDER__" value="__ORDER_LITTLE_ENDIAN__">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__CHAR16_TYPE__" value="short unsigned int">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__CHAR32_TYPE__" value="long unsigned int">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__CHAR_BIT__" value="8">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__DBL_DECIMAL_DIG__" value="17">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__DBL_DENORM_MIN__" value="double(4.9406564584124654e-324L)">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__DBL_DIG__" value="15">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__DBL_EPSILON__" value="double(2.2204460492503131e-16L)">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__DBL_HAS_DENORM__" value="1">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__DBL_HAS_INFINITY__" value="1">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__DBL_HAS_QUIET_NAN__" value="1">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__DBL_MANT_DIG__" value="53">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__DBL_MAX_10_EXP__" value="308">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__DBL_MAX_EXP__" value="1024">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__DBL_MAX__" value="double(1.7976931348623157e+308L)">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__DBL_MIN_10_EXP__" value="(-307)">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__DBL_MIN_EXP__" value="(-1021)">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__DBL_MIN__" value="double(2.2250738585072014e-308L)">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__DEC128_EPSILON__" value="1E-33DL">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__DEC128_MANT_DIG__" value="34">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__DEC128_MAX_EXP__" value="6145">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__DEC128_MAX__" value="9.999999999999999999999999999999999E6144DL">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__DEC128_MIN_EXP__" value="(-6142)">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__DEC128_MIN__" value="1E-6143DL">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__DEC128_SUBNORMAL_MIN__" value="0.000000000000000000000000000000001E-6143DL">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__DEC32_EPSILON__" value="1E-6DF">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__DEC32_MANT_DIG__" value="7">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__DEC32_MAX_EXP__" value="97">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__DEC32_MAX__" value="9.999999E96DF">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__DEC32_MIN_EXP__" value="(-94)">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__DEC32_MIN__" value="1E-95DF">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__DEC32_SUBNORMAL_MIN__" value="0.000001E-95DF">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__DEC64_EPSILON__" value="1E-15DD">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__DEC64_MANT_DIG__" value="16">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__DEC64_MAX_EXP__" value="385">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__DEC64_MAX__" value="9.999999999999999E384DD">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__DEC64_MIN_EXP__" value="(-382)">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__DEC64_MIN__" value="1E-383DD">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__DEC64_SUBNORMAL_MIN__" value="0.000000000000001E-383DD">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__DECIMAL_DIG__" value="17">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__DEC_EVAL_METHOD__" value="2">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__DEPRECATED" value="1">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__ELF__" value="1">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__EXCEPTIONS" value="1">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__FINITE_MATH_ONLY__" value="0">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__FLOAT_WORD_ORDER__" value="__ORDER_LITTLE_ENDIAN__">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__FLT_DECIMAL_DIG__" value="9">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__FLT_DENORM_MIN__" value="1.4012984643248171e-45F">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__FLT_DIG__" value="6">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__FLT_EPSILON__" value="1.1920928955078125e-7F">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__FLT_EVAL_METHOD__" value="0">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__FLT_HAS_DENORM__" value="1">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__FLT_HAS_INFINITY__" value="1">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__FLT_HAS_QUIET_NAN__" value="1">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__FLT_MANT_DIG__" value="24">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__FLT_MAX_10_EXP__" value="38">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__FLT_MAX_EXP__" value="128">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__FLT_MAX__" value="3.4028234663852886e+38F">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__FLT_MIN_10_EXP__" value="(-37)">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__FLT_MIN_EXP__" value="(-125)">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__FLT_MIN__" value="1.1754943508222875e-38F">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__FLT_RADIX__" value="2">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__GCC_ATOMIC_BOOL_LOCK_FREE" value="1">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__GCC_ATOMIC_CHAR16_T_LOCK_FREE" value="1">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__GCC_ATOMIC_CHAR32_T_LOCK_FREE" value="1">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__GCC_ATOMIC_CHAR_LOCK_FREE" value="1">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__GCC_ATOMIC_INT_LOCK_FREE" value="1">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__GCC_ATOMIC_LLONG_LOCK_FREE" value="1">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__GCC_ATOMIC_LONG_LOCK_FREE" value="1">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__GCC_ATOMIC_POINTER_LOCK_FREE" value="1">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__GCC_ATOMIC_SHORT_LOCK_FREE" value="1">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__GCC_ATOMIC_TEST_AND_SET_TRUEVAL" value="1">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__GCC_ATOMIC_WCHAR_T_LOCK_FREE" value="1">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__GCC_IEC_559" value="0">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__GCC_IEC_559_COMPLEX" value="0">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__GNUC_GNU_INLINE__" value="1">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__GNUC_MINOR__" value="3">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__GNUC_PATCHLEVEL__" value="0">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__GNUC__" value="5">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__GNUG__" value="5">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__GXX_ABI_VERSION" value="1009">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__GXX_RTTI" value="1">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__GXX_WEAK__" value="1">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__INT16_C(c)" value="c">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__INT16_MAX__" value="0x7fff">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__INT16_TYPE__" value="short int">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__INT32_C(c)" value="c ## L">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__INT32_MAX__" value="0x7fffffffL">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__INT32_TYPE__" value="long int">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__INT64_C(c)" value="c ## LL">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__INT64_MAX__" value="0x7fffffffffffffffLL">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__INT64_TYPE__" value="long long int">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__INT8_C(c)" value="c">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__INT8_MAX__" value="0x7f">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__INT8_TYPE__" value="signed char">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__INTMAX_C(c)" value="c ## LL">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__INTMAX_MAX__" value="0x7fffffffffffffffLL">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__INTMAX_TYPE__" value="long long int">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__INTPTR_MAX__" value="0x7fffffff">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__INTPTR_TYPE__" value="int">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__INT_FAST16_MAX__" value="0x7fffffff">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__INT_FAST16_TYPE__" value="int">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__INT_FAST32_MAX__" value="0x7fffffff">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__INT_FAST32_TYPE__" value="int">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__INT_FAST64_MAX__" value="0x7fffffffffffffffLL">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__INT_FAST64_TYPE__" value="long long int">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__INT_FAST8_MAX__" value="0x7fffffff">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__INT_FAST8_TYPE__" value="int">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__INT_LEAST16_MAX__" value="0x7fff">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__INT_LEAST16_TYPE__" value="short int">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__INT_LEAST32_MAX__" value="0x7fffffffL">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__INT_LEAST32_TYPE__" value="long int">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__INT_LEAST64_MAX__" value="0x7fffffffffffffffLL">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__INT_LEAST64_TYPE__" value="long long int">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__INT_LEAST8_MAX__" value="0x7f">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__INT_LEAST8_TYPE__" value="signed char">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__INT_MAX__" value="0x7fffffff">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__LDBL_DENORM_MIN__" value="4.9406564584124654e-324L">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__LDBL_DIG__" value="15">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__LDBL_EPSILON__" value="2.2204460492503131e-16L">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__LDBL_HAS_DENORM__" value="1">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__LDBL_HAS_INFINITY__" value="1">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__LDBL_HAS_QUIET_NAN__" value="1">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__LDBL_MANT_DIG__" value="53">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__LDBL_MAX_10_EXP__" value="308">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__LDBL_MAX_EXP__" value="1024">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__LDBL_MAX__" value="1.7976931348623157e+308L">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__LDBL_MIN_10_EXP__" value="(-307)">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__LDBL_MIN_EXP__" value="(-1021)">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__LDBL_MIN__" value="2.2250738585072014e-308L">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__LONG_LONG_MAX__" value="0x7fffffffffffffffLL">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__LONG_MAX__" value="0x7fffffffL">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__NIOS2" value="1">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__NIOS2__" value="1">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__NO_INLINE__" value="1">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__ORDER_BIG_ENDIAN__" value="4321">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__ORDER_LITTLE_ENDIAN__" value="1234">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__ORDER_PDP_ENDIAN__" value="3412">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__PRAGMA_REDEFINE_EXTNAME" value="1">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__PTRDIFF_MAX__" value="0x7fffffff">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__PTRDIFF_TYPE__" value="int">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__REGISTER_PREFIX__" value="">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__SCHAR_MAX__" value="0x7f">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__SHRT_MAX__" value="0x7fff">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__SIG_ATOMIC_MAX__" value="0x7fffffff">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__SIG_ATOMIC_MIN__" value="(-__SIG_ATOMIC_MAX__ - 1)">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__SIG_ATOMIC_TYPE__" value="int">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__SIZEOF_DOUBLE__" value="8">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__SIZEOF_FLOAT__" value="4">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__SIZEOF_INT__" value="4">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__SIZEOF_LONG_DOUBLE__" value="8">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__SIZEOF_LONG_LONG__" value="8">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__SIZEOF_LONG__" value="4">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__SIZEOF_POINTER__" value="4">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__SIZEOF_PTRDIFF_T__" value="4">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__SIZEOF_SHORT__" value="2">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__SIZEOF_SIZE_T__" value="4">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__SIZEOF_WCHAR_T__" value="4">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__SIZEOF_WINT_T__" value="4">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__SIZE_MAX__" value="0xffffffffU">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__SIZE_TYPE__" value="unsigned int">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__STDC_HOSTED__" value="1">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__STDC__" value="1">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__UINT16_C(c)" value="c">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__UINT16_MAX__" value="0xffff">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__UINT16_TYPE__" value="short unsigned int">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__UINT32_C(c)" value="c ## UL">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__UINT32_MAX__" value="0xffffffffUL">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__UINT32_TYPE__" value="long unsigned int">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__UINT64_C(c)" value="c ## ULL">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__UINT64_MAX__" value="0xffffffffffffffffULL">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__UINT64_TYPE__" value="long long unsigned int">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__UINT8_C(c)" value="c">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__UINT8_MAX__" value="0xff">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__UINT8_TYPE__" value="unsigned char">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__UINTMAX_C(c)" value="c ## ULL">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__UINTMAX_MAX__" value="0xffffffffffffffffULL">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__UINTMAX_TYPE__" value="long long unsigned int">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__UINTPTR_MAX__" value="0xffffffffU">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__UINTPTR_TYPE__" value="unsigned int">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__UINT_FAST16_MAX__" value="0xffffffffU">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__UINT_FAST16_TYPE__" value="unsigned int">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__UINT_FAST32_MAX__" value="0xffffffffU">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__UINT_FAST32_TYPE__" value="unsigned int">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__UINT_FAST64_MAX__" value="0xffffffffffffffffULL">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__UINT_FAST64_TYPE__" value="long long unsigned int">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__UINT_FAST8_MAX__" value="0xffffffffU">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__UINT_FAST8_TYPE__" value="unsigned int">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__UINT_LEAST16_MAX__" value="0xffff">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__UINT_LEAST16_TYPE__" value="short unsigned int">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__UINT_LEAST32_MAX__" value="0xffffffffUL">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__UINT_LEAST32_TYPE__" value="long unsigned int">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__UINT_LEAST64_MAX__" value="0xffffffffffffffffULL">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__UINT_LEAST64_TYPE__" value="long long unsigned int">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__UINT_LEAST8_MAX__" value="0xff">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__UINT_LEAST8_TYPE__" value="unsigned char">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__USER_LABEL_PREFIX__" value="">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__USING_SJLJ_EXCEPTIONS__" value="1">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__VERSION__" value="&quot;5.3.0&quot;">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__WCHAR_MAX__" value="0x7fffffff">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__WCHAR_MIN__" value="(-__WCHAR_MAX__ - 1)">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__WCHAR_TYPE__" value="int">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__WINT_MAX__" value="0xffffffffU">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__WINT_MIN__" value="0U">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__WINT_TYPE__" value="unsigned int">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__cplusplus" value="199711L">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__cpp_binary_literals" value="201304">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__cpp_exceptions" value="199711">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__cpp_rtti" value="199711">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__cpp_runtime_arrays" value="198712">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__has_include(STR)" value="__has_include__(STR)">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__has_include_next(STR)" value="__has_include_next__(STR)">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__nios2" value="1">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__nios2__" value="1">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__nios2_arch__" value="1">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__nios2_little_endian" value="1">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="__nios2_little_endian__" value="1">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="nios2" value="1">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-					<entry kind="macro" name="nios2_little_endian" value="1">
-						<flag value="BUILTIN|READONLY"/>
-					</entry>
-				</language>
-			</provider>
-		</extension>
-	</configuration>
-</project>

+ 0 - 1
software/.metadata/.plugins/org.eclipse.cdt.make.core/specs.c

@@ -1 +0,0 @@
-

+ 0 - 1
software/.metadata/.plugins/org.eclipse.cdt.make.core/specs.cpp

@@ -1 +0,0 @@
-

+ 0 - 34
software/.metadata/.plugins/org.eclipse.core.resources/.history/32/202feef1ef2f001b1545ef0b5631d2e4

@@ -1,34 +0,0 @@
-/*
- * "Hello World" example.
- *
- * This example prints 'Hello from Nios II' to the STDOUT stream. It runs on
- * the Nios II 'standard', 'full_featured', 'fast', and 'low_cost' example
- * designs. It runs with or without the MicroC/OS-II RTOS and requires a STDOUT
- * device in your system's hardware.
- * The memory footprint of this hosted application is ~69 kbytes by default
- * using the standard reference design.
- *
- * For a reduced footprint version of this template, and an explanation of how
- * to reduce the memory footprint for a given application, see the
- * "small_hello_world" template.
- *
- */
-
-#include <stdio.h>
-#include "altera_avalon_pio_regs.h"
-
-int main()
-{
-  printf("Hello from Nios II!\n");
-  int count = 0;
-
-  while(true) {
-	  printf("%d\n", count);
-	  IOWR_ALTERA_AVALON_PIO_DATA(PIO_LED_BASE, count++);
-	  for(int i=0; i<2000000; i++) {
-
-	  }
-  }
-
-  return 0;
-}

+ 0 - 33
software/.metadata/.plugins/org.eclipse.core.resources/.history/50/403241c7ef2f001b1545ef0b5631d2e4

@@ -1,33 +0,0 @@
-/*
- * "Hello World" example.
- *
- * This example prints 'Hello from Nios II' to the STDOUT stream. It runs on
- * the Nios II 'standard', 'full_featured', 'fast', and 'low_cost' example
- * designs. It runs with or without the MicroC/OS-II RTOS and requires a STDOUT
- * device in your system's hardware.
- * The memory footprint of this hosted application is ~69 kbytes by default
- * using the standard reference design.
- *
- * For a reduced footprint version of this template, and an explanation of how
- * to reduce the memory footprint for a given application, see the
- * "small_hello_world" template.
- *
- */
-
-#include <stdio.h>
-#include "altera_avalon_pio_regs.h"
-
-int main()
-{
-  printf("Hello from Nios II!\n");
-  int count = 0;
-
-  while(true) {
-	  IOWR_ALTERA_AVALON_PIO_DATA(PIO_LED_BASE, count++);
-	  for(int i=0; i<2000000; i++) {
-
-	  }
-  }
-
-  return 0;
-}

+ 0 - 35
software/.metadata/.plugins/org.eclipse.core.resources/.history/8f/502e43e9f12f001b1545ef0b5631d2e4

@@ -1,35 +0,0 @@
-/*
- * "Hello World" example.
- *
- * This example prints 'Hello from Nios II' to the STDOUT stream. It runs on
- * the Nios II 'standard', 'full_featured', 'fast', and 'low_cost' example
- * designs. It runs with or without the MicroC/OS-II RTOS and requires a STDOUT
- * device in your system's hardware.
- * The memory footprint of this hosted application is ~69 kbytes by default
- * using the standard reference design.
- *
- * For a reduced footprint version of this template, and an explanation of how
- * to reduce the memory footprint for a given application, see the
- * "small_hello_world" template.
- *
- */
-
-#include <stdio.h>
-#include "altera_avalon_pio_regs.h"
-#include <system.h>
-
-int main()
-{
-  printf("Hello from Nios II!\n");
-  int count = 0;
-
-  while(1) {
-	  printf("%d\n", count);
-	  IOWR_ALTERA_AVALON_PIO_DATA(PIO_LED_BASE, count++);
-	  for(int i=0; i<100000; i++) {
-
-	  }
-  }
-
-  return 0;
-}

+ 0 - 35
software/.metadata/.plugins/org.eclipse.core.resources/.history/a5/c0d6e426f02f001b1545ef0b5631d2e4

@@ -1,35 +0,0 @@
-/*
- * "Hello World" example.
- *
- * This example prints 'Hello from Nios II' to the STDOUT stream. It runs on
- * the Nios II 'standard', 'full_featured', 'fast', and 'low_cost' example
- * designs. It runs with or without the MicroC/OS-II RTOS and requires a STDOUT
- * device in your system's hardware.
- * The memory footprint of this hosted application is ~69 kbytes by default
- * using the standard reference design.
- *
- * For a reduced footprint version of this template, and an explanation of how
- * to reduce the memory footprint for a given application, see the
- * "small_hello_world" template.
- *
- */
-
-#include <stdio.h>
-#include "altera_avalon_pio_regs.h"
-#include <system.h>
-
-int main()
-{
-  printf("Hello from Nios II!\n");
-  int count = 0;
-
-  while(1) {
-	  printf("%d\n", count);
-	  IOWR_ALTERA_AVALON_PIO_DATA(PIO_LED_BASE, count++);
-	  for(int i=0; i<2000000; i++) {
-
-	  }
-  }
-
-  return 0;
-}

+ 0 - 34
software/.metadata/.plugins/org.eclipse.core.resources/.history/b7/608b34f6ef2f001b1545ef0b5631d2e4

@@ -1,34 +0,0 @@
-/*
- * "Hello World" example.
- *
- * This example prints 'Hello from Nios II' to the STDOUT stream. It runs on
- * the Nios II 'standard', 'full_featured', 'fast', and 'low_cost' example
- * designs. It runs with or without the MicroC/OS-II RTOS and requires a STDOUT
- * device in your system's hardware.
- * The memory footprint of this hosted application is ~69 kbytes by default
- * using the standard reference design.
- *
- * For a reduced footprint version of this template, and an explanation of how
- * to reduce the memory footprint for a given application, see the
- * "small_hello_world" template.
- *
- */
-
-#include <stdio.h>
-#include "altera_avalon_pio_regs.h"
-
-int main()
-{
-  printf("Hello from Nios II!\n");
-  int count = 0;
-
-  while(1) {
-	  printf("%d\n", count);
-	  IOWR_ALTERA_AVALON_PIO_DATA(PIO_LED, count++);
-	  for(int i=0; i<2000000; i++) {
-
-	  }
-  }
-
-  return 0;
-}

+ 0 - 35
software/.metadata/.plugins/org.eclipse.core.resources/.history/d2/901d0554f02f001b1545ef0b5631d2e4

@@ -1,35 +0,0 @@
-/*
- * "Hello World" example.
- *
- * This example prints 'Hello from Nios II' to the STDOUT stream. It runs on
- * the Nios II 'standard', 'full_featured', 'fast', and 'low_cost' example
- * designs. It runs with or without the MicroC/OS-II RTOS and requires a STDOUT
- * device in your system's hardware.
- * The memory footprint of this hosted application is ~69 kbytes by default
- * using the standard reference design.
- *
- * For a reduced footprint version of this template, and an explanation of how
- * to reduce the memory footprint for a given application, see the
- * "small_hello_world" template.
- *
- */
-
-#include <stdio.h>
-#include "altera_avalon_pio_regs.h"
-#include <system.h>
-
-int main()
-{
-  printf("Hello from Nios II!\n");
-  int count = 0;
-
-  while(1) {
-	  printf("%d\n", count);
-	  IOWR_ALTERA_AVALON_PIO_DATA(PIO_LED_BASE, count++);
-	  for(int i=0; i<500000; i++) {
-
-	  }
-  }
-
-  return 0;
-}

+ 0 - 34
software/.metadata/.plugins/org.eclipse.core.resources/.history/d4/80e2f03df22f001b1545ef0b5631d2e4

@@ -1,34 +0,0 @@
-/*
- * "Hello World" example.
- *
- * This example prints 'Hello from Nios II' to the STDOUT stream. It runs on
- * the Nios II 'standard', 'full_featured', 'fast', and 'low_cost' example
- * designs. It runs with or without the MicroC/OS-II RTOS and requires a STDOUT
- * device in your system's hardware.
- * The memory footprint of this hosted application is ~69 kbytes by default
- * using the standard reference design.
- *
- * For a reduced footprint version of this template, and an explanation of how
- * to reduce the memory footprint for a given application, see the
- * "small_hello_world" template.
- *
- */
-
-#include <stdio.h>
-#include "altera_avalon_pio_regs.h"
-#include <system.h>
-
-int main()
-{
-  printf("Hello from Nios II!\n");
-  int count = 0;
-
-  while(1) {
-	  printf("%d\n", count);
-	  IOWR_ALTERA_AVALON_PIO_DATA(PIO_LED_BASE, count++);
-	  //for(int i=0; i<100000; i++) {
-	  //}
-  }
-
-  return 0;
-}

+ 0 - 34
software/.metadata/.plugins/org.eclipse.core.resources/.history/e0/b060dd18f02f001b1545ef0b5631d2e4

@@ -1,34 +0,0 @@
-/*
- * "Hello World" example.
- *
- * This example prints 'Hello from Nios II' to the STDOUT stream. It runs on
- * the Nios II 'standard', 'full_featured', 'fast', and 'low_cost' example
- * designs. It runs with or without the MicroC/OS-II RTOS and requires a STDOUT
- * device in your system's hardware.
- * The memory footprint of this hosted application is ~69 kbytes by default
- * using the standard reference design.
- *
- * For a reduced footprint version of this template, and an explanation of how
- * to reduce the memory footprint for a given application, see the
- * "small_hello_world" template.
- *
- */
-
-#include <stdio.h>
-#include "altera_avalon_pio_regs.h"
-
-int main()
-{
-  printf("Hello from Nios II!\n");
-  int count = 0;
-
-  while(1) {
-	  printf("%d\n", count);
-	  IOWR_ALTERA_AVALON_PIO_DATA(PIO_LED_BASE, count++);
-	  for(int i=0; i<2000000; i++) {
-
-	  }
-  }
-
-  return 0;
-}

+ 0 - 24
software/.metadata/.plugins/org.eclipse.core.resources/.history/f5/30a9ceb9ef2f001b1545ef0b5631d2e4

@@ -1,24 +0,0 @@
-/*
- * "Hello World" example.
- *
- * This example prints 'Hello from Nios II' to the STDOUT stream. It runs on
- * the Nios II 'standard', 'full_featured', 'fast', and 'low_cost' example
- * designs. It runs with or without the MicroC/OS-II RTOS and requires a STDOUT
- * device in your system's hardware.
- * The memory footprint of this hosted application is ~69 kbytes by default
- * using the standard reference design.
- *
- * For a reduced footprint version of this template, and an explanation of how
- * to reduce the memory footprint for a given application, see the
- * "small_hello_world" template.
- *
- */
-
-#include <stdio.h>
-
-int main()
-{
-  printf("Hello from Nios II!\n");
-
-  return 0;
-}

BIN
software/.metadata/.plugins/org.eclipse.core.resources/.projects/RemoteSystemsTempFiles/.markers.snap


BIN
software/.metadata/.plugins/org.eclipse.core.resources/.projects/RemoteSystemsTempFiles/.syncinfo.snap


BIN
software/.metadata/.plugins/org.eclipse.core.resources/.projects/hello_world/.indexes/properties.index


BIN
software/.metadata/.plugins/org.eclipse.core.resources/.projects/hello_world/.markers.snap


BIN
software/.metadata/.plugins/org.eclipse.core.resources/.projects/hello_world/.syncinfo.snap


BIN
software/.metadata/.plugins/org.eclipse.core.resources/.projects/hello_world_bsp/.indexes/4b/de/properties.index


BIN
software/.metadata/.plugins/org.eclipse.core.resources/.projects/hello_world_bsp/.indexes/73/de/properties.index


BIN
software/.metadata/.plugins/org.eclipse.core.resources/.projects/hello_world_bsp/.indexes/properties.index


BIN
software/.metadata/.plugins/org.eclipse.core.resources/.projects/hello_world_bsp/.markers.snap


BIN
software/.metadata/.plugins/org.eclipse.core.resources/.projects/hello_world_bsp/.syncinfo.snap


BIN
software/.metadata/.plugins/org.eclipse.core.resources/.root/.indexes/properties.index


BIN
software/.metadata/.plugins/org.eclipse.core.resources/.root/.markers.snap


BIN
software/.metadata/.plugins/org.eclipse.core.resources/.safetable/org.eclipse.core.resources


BIN
software/.metadata/.plugins/org.eclipse.core.resources/0.snap


+ 0 - 4
software/.metadata/.plugins/org.eclipse.core.runtime/.settings/com.altera.sbtgui.ui.prefs

@@ -1,4 +0,0 @@
-eclipse.preferences.version=1
-newSoftwareExampleWizardPage.defaultLocation=/home/sstudent/HS-Codesign/HS-Codesign/niosii_20201119/software/hello_world
-newSoftwareExampleWizardPage.sopcinfoFile=/home/sstudent/HS-Codesign/HS-Codesign/niosii_20201119/nios2_uc.sopcinfo
-newSoftwareExampleWizardPage2.newBspLocation=/home/sstudent/HS-Codesign/HS-Codesign/niosii_20201119/software/hello_world_bsp

+ 0 - 2
software/.metadata/.plugins/org.eclipse.core.runtime/.settings/org.eclipse.cdt.core.prj-hello_world.prefs

@@ -1,2 +0,0 @@
-eclipse.preferences.version=1
-indexer/preferenceScope=0

+ 0 - 2
software/.metadata/.plugins/org.eclipse.core.runtime/.settings/org.eclipse.cdt.core.prj-hello_world_bsp.prefs

@@ -1,2 +0,0 @@
-eclipse.preferences.version=1
-indexer/preferenceScope=0

+ 0 - 2
software/.metadata/.plugins/org.eclipse.core.runtime/.settings/org.eclipse.cdt.debug.core.prefs

@@ -1,2 +0,0 @@
-eclipse.preferences.version=1
-org.eclipse.cdt.debug.core.cDebug.default_source_containers=<?xml version\="1.0" encoding\="UTF-8" standalone\="no"?>\n<sourceLookupDirector>\n<sourceContainers duplicates\="false">\n<container memento\="AbsolutePath" typeId\="org.eclipse.cdt.debug.core.containerType.absolutePath"/>\n<container memento\="programRelativePath" typeId\="org.eclipse.cdt.debug.core.containerType.programRelativePath"/>\n<container memento\="&lt;?xml version\=&quot;1.0&quot; encoding\=&quot;UTF-8&quot; standalone\=&quot;no&quot;?&gt;&\#10;&lt;project referencedProjects\=&quot;true&quot;/&gt;&\#10;" typeId\="org.eclipse.cdt.debug.core.containerType.project"/>\n</sourceContainers>\n</sourceLookupDirector>\n

+ 0 - 3
software/.metadata/.plugins/org.eclipse.core.runtime/.settings/org.eclipse.cdt.managedbuilder.core.prefs

@@ -1,3 +0,0 @@
-eclipse.preferences.version=1
-properties/hello_world.null.1232663156/preference.org.eclipse.cdt.managedbuilder.core.configurationDataProvider.1616516038=org.eclipse.cdt.build.core.settings.holder.818126668\=rebuildState\\\=true\\n\naltera.tool.gnu.cpp.linker.681709555\=rebuildState\\\=false\\n\naltera.tool.gnu.c.compiler.145552834\=rebuildState\\\=false\\n\naltera.tool.gnu.c.linker.1169005074\=rebuildState\\\=false\\n\naltera.tool.gnu.cpp.compiler.973565031\=rebuildState\\\=false\\n\naltera.nios2.linux.gcc4.1005046772\=rebuildState\\\=false\\n\naltera.tool.gnu.assembler.655282811\=rebuildState\\\=false\\n\naltera.tool.gnu.archiver.394076629\=rebuildState\\\=false\\n\norg.eclipse.cdt.build.core.settings.holder.libs.672759760\=rebuildState\\\=true\\n\npreference.org.eclipse.cdt.managedbuilder.core.configurationDataProvider.1616516038\=rcState\\\=0\\nrebuildState\\\=false\\n\norg.eclipse.cdt.build.core.settings.holder.272524829\=rebuildState\\\=true\\n\norg.eclipse.cdt.build.core.prefbase.toolchain.593482184\=rebuildState\\\=true\\n\norg.eclipse.cdt.build.core.settings.holder.665904697\=rebuildState\\\=true\\n\n
-properties/hello_world_bsp.null.511316921/preference.org.eclipse.cdt.managedbuilder.core.configurationDataProvider.603004973=org.eclipse.cdt.build.core.settings.holder.719992989\=rebuildState\\\=true\\n\naltera.tool.gnu.c.compiler.2129840421\=rebuildState\\\=true\\n\naltera.tool.gnu.cpp.compiler.441080672\=rebuildState\\\=true\\n\norg.eclipse.cdt.build.core.prefbase.toolchain.2103009207\=rebuildState\\\=true\\n\naltera.nios2.linux.gcc4.392043177\=rebuildState\\\=true\\n\norg.eclipse.cdt.build.core.settings.holder.libs.203059311\=rebuildState\\\=true\\n\naltera.tool.gnu.cpp.linker.1449706149\=rebuildState\\\=true\\n\norg.eclipse.cdt.build.core.settings.holder.2048312676\=rebuildState\\\=true\\n\naltera.tool.gnu.assembler.313202763\=rebuildState\\\=true\\n\naltera.tool.gnu.c.linker.2022682903\=rebuildState\\\=true\\n\naltera.tool.gnu.archiver.1818722045\=rebuildState\\\=true\\n\norg.eclipse.cdt.build.core.settings.holder.765233782\=rebuildState\\\=true\\n\npreference.org.eclipse.cdt.managedbuilder.core.configurationDataProvider.603004973\=rebuildState\\\=true\\n\n

+ 0 - 2
software/.metadata/.plugins/org.eclipse.core.runtime/.settings/org.eclipse.core.resources.prefs

@@ -1,2 +0,0 @@
-eclipse.preferences.version=1
-version=1

+ 0 - 5
software/.metadata/.plugins/org.eclipse.core.runtime/.settings/org.eclipse.debug.core.prefs

@@ -1,5 +0,0 @@
-//org.eclipse.debug.core.PREFERRED_DELEGATES/org.eclipse.cdt.launch.applicationLaunchType=org.eclipse.cdt.dsf.gdb.launch.localCLaunch,debug,;org.eclipse.cdt.cdi.launch.localCLaunch,run,;
-//org.eclipse.debug.core.PREFERRED_DELEGATES/org.eclipse.cdt.launch.attachLaunchType=org.eclipse.cdt.dsf.gdb.launch.attachCLaunch,debug,;
-//org.eclipse.debug.core.PREFERRED_DELEGATES/org.eclipse.cdt.launch.postmortemLaunchType=org.eclipse.cdt.dsf.gdb.launch.coreCLaunch,debug,;
-//org.eclipse.debug.core.PREFERRED_DELEGATES/org.eclipse.cdt.launch.remoteApplicationLaunchType=org.eclipse.rse.remotecdt.dsf.debug,debug,;
-eclipse.preferences.version=1

+ 0 - 3
software/.metadata/.plugins/org.eclipse.core.runtime/.settings/org.eclipse.debug.ui.prefs

@@ -1,3 +0,0 @@
-eclipse.preferences.version=1
-org.eclipse.debug.ui.PREF_LAUNCH_PERSPECTIVES=<?xml version\="1.0" encoding\="UTF-8" standalone\="no"?>\n<launchPerspectives/>\n
-preferredTargets=org.eclipse.cdt.debug.ui.toggleCBreakpointTarget,org.eclipse.cdt.debug.ui.toggleCDynamicPrintfTarget\:org.eclipse.cdt.debug.ui.toggleCBreakpointTarget|

+ 0 - 4
software/.metadata/.plugins/org.eclipse.core.runtime/.settings/org.eclipse.epp.logging.aeri.ide.prefs

@@ -1,4 +0,0 @@
-eclipse.preferences.version=1
-resetSendMode=KEEP
-resetSendModeOn=0
-sendMode=NOTIFY

+ 0 - 2
software/.metadata/.plugins/org.eclipse.core.runtime/.settings/org.eclipse.mylyn.context.core.prefs

@@ -1,2 +0,0 @@
-eclipse.preferences.version=1
-mylyn.attention.migrated=true

+ 0 - 2
software/.metadata/.plugins/org.eclipse.core.runtime/.settings/org.eclipse.mylyn.monitor.ui.prefs

@@ -1,2 +0,0 @@
-eclipse.preferences.version=1
-org.eclipse.mylyn.monitor.activity.tracking.enabled.checked=true

+ 0 - 3
software/.metadata/.plugins/org.eclipse.core.runtime/.settings/org.eclipse.mylyn.tasks.ui.prefs

@@ -1,3 +0,0 @@
-eclipse.preferences.version=1
-org.eclipse.mylyn.tasks.ui.filters.nonmatching=true
-org.eclipse.mylyn.tasks.ui.filters.nonmatching.encouraged=true

+ 0 - 2
software/.metadata/.plugins/org.eclipse.core.runtime/.settings/org.eclipse.rse.core.prefs

@@ -1,2 +0,0 @@
-eclipse.preferences.version=1
-org.eclipse.rse.systemtype.local.systemType.defaultUserId=sstudent

+ 0 - 2
software/.metadata/.plugins/org.eclipse.core.runtime/.settings/org.eclipse.rse.ui.prefs

@@ -1,2 +0,0 @@
-eclipse.preferences.version=1
-org.eclipse.rse.preferences.order.connections=emw-pc0122103.Local

+ 0 - 2
software/.metadata/.plugins/org.eclipse.core.runtime/.settings/org.eclipse.ui.browser.prefs

@@ -1,2 +0,0 @@
-eclipse.preferences.version=1
-internalWebBrowserHistory=file\:///home/sstudent/HS-Codesign/HS-Codesign/niosii_20201119/software/hello_world_bsp/summary.html|*|file\:/home/sstudent/HS-Codesign/HS-Codesign/niosii_20201119/software/hello_world_bsp/summary.html|*|

+ 0 - 17
software/.metadata/.plugins/org.eclipse.debug.core/.launches/hello_world Nios II Hardware configuration.launch

@@ -1,17 +0,0 @@
-<?xml version="1.0" encoding="UTF-8" standalone="no"?>
-<launchConfiguration type="com.altera.sbtgui.launch.hardware.Nios2HardwareLaunchConfiguration">
-<stringAttribute key="byteStreamDeviceCableName" value="USB-Blaster on localhost [3-9]"/>
-<stringAttribute key="byteStreamDeviceDeviceID" value="1"/>
-<stringAttribute key="byteStreamDeviceInstanceID" value="0"/>
-<booleanAttribute key="downloadProgram" value="true"/>
-<stringAttribute key="elfFile" value="/home/sstudent/HS-Codesign/HS-Codesign/niosii_20201119/software/hello_world/hello_world.elf"/>
-<stringAttribute key="org.eclipse.cdt.launch.DEBUGGER_ID" value="com.altera.debug.cdi.gdb.plugin.Nios2GdbCdiDebugger"/>
-<stringAttribute key="org.eclipse.cdt.launch.DEBUGGER_START_MODE" value="run"/>
-<booleanAttribute key="org.eclipse.cdt.launch.DEBUGGER_STOP_AT_MAIN" value="true"/>
-<stringAttribute key="org.eclipse.cdt.launch.PROGRAM_NAME" value="hello_world.elf"/>
-<stringAttribute key="org.eclipse.cdt.launch.PROJECT_ATTR" value="hello_world"/>
-<stringAttribute key="processorCableName" value="USB-Blaster on localhost [3-9]"/>
-<stringAttribute key="processorDeviceIndex" value="1"/>
-<stringAttribute key="processorInstanceId" value="0"/>
-<booleanAttribute key="runProgram" value="true"/>
-</launchConfiguration>

+ 0 - 27
software/.metadata/.plugins/org.eclipse.debug.ui/launchConfigurationHistory.xml

@@ -1,27 +0,0 @@
-<?xml version="1.0" encoding="UTF-8" standalone="no"?>
-<launchHistory>
-<launchGroup id="org.eclipse.debug.ui.launchGroup.profilee">
-<mruHistory/>
-<favorites/>
-</launchGroup>
-<launchGroup id="org.eclipse.debug.ui.launchGroup.debug">
-<mruHistory>
-<launch memento="&lt;?xml version=&quot;1.0&quot; encoding=&quot;UTF-8&quot; standalone=&quot;no&quot;?&gt;&#10;&lt;launchConfiguration local=&quot;true&quot; path=&quot;hello_world Nios II Hardware configuration&quot;/&gt;&#10;"/>
-</mruHistory>
-<favorites/>
-</launchGroup>
-<launchGroup id="org.eclipse.debug.ui.launchGroup.profile">
-<mruHistory/>
-<favorites/>
-</launchGroup>
-<launchGroup id="org.eclipse.ui.externaltools.launchGroup">
-<mruHistory/>
-<favorites/>
-</launchGroup>
-<launchGroup id="org.eclipse.debug.ui.launchGroup.run">
-<mruHistory>
-<launch memento="&lt;?xml version=&quot;1.0&quot; encoding=&quot;UTF-8&quot; standalone=&quot;no&quot;?&gt;&#10;&lt;launchConfiguration local=&quot;true&quot; path=&quot;hello_world Nios II Hardware configuration&quot;/&gt;&#10;"/>
-</mruHistory>
-<favorites/>
-</launchGroup>
-</launchHistory>

Những thai đổi đã bị hủy bỏ vì nó quá lớn
+ 0 - 2
software/.metadata/.plugins/org.eclipse.e4.workbench/workbench.xmi


Một số tệp đã không được hiển thị bởi vì quá nhiều tập tin thay đổi trong này khác