123456789101112131415161718192021222324252627282930313233343536373839404142434445464748495051525354555657585960616263646566676869707172737475767778798081828384858687888990919293949596979899100101 |
- `timescale 1 ps / 1 ps
- module nios2_uc_nios2 (
- input wire clk,
- input wire reset_n,
- input wire reset_req,
- output wire [19:0] d_address,
- output wire [3:0] d_byteenable,
- output wire d_read,
- input wire [31:0] d_readdata,
- input wire d_waitrequest,
- output wire d_write,
- output wire [31:0] d_writedata,
- output wire debug_mem_slave_debugaccess_to_roms,
- output wire [19:0] i_address,
- output wire i_read,
- input wire [31:0] i_readdata,
- input wire i_waitrequest,
- input wire [31:0] irq,
- output wire debug_reset_request,
- input wire [8:0] debug_mem_slave_address,
- input wire [3:0] debug_mem_slave_byteenable,
- input wire debug_mem_slave_debugaccess,
- input wire debug_mem_slave_read,
- output wire [31:0] debug_mem_slave_readdata,
- output wire debug_mem_slave_waitrequest,
- input wire debug_mem_slave_write,
- input wire [31:0] debug_mem_slave_writedata,
- input wire E_ci_multi_done,
- output wire E_ci_multi_clk_en,
- output wire E_ci_multi_start,
- input wire [31:0] E_ci_result,
- output wire [4:0] D_ci_a,
- output wire [4:0] D_ci_b,
- output wire [4:0] D_ci_c,
- output wire [7:0] D_ci_n,
- output wire D_ci_readra,
- output wire D_ci_readrb,
- output wire D_ci_writerc,
- output wire [31:0] E_ci_dataa,
- output wire [31:0] E_ci_datab,
- output wire E_ci_multi_clock,
- output wire E_ci_multi_reset,
- output wire E_ci_multi_reset_req,
- output wire W_ci_estatus,
- output wire [31:0] W_ci_ipending
- );
- nios2_uc_nios2_cpu cpu (
- .clk (clk),
- .reset_n (reset_n),
- .reset_req (reset_req),
- .d_address (d_address),
- .d_byteenable (d_byteenable),
- .d_read (d_read),
- .d_readdata (d_readdata),
- .d_waitrequest (d_waitrequest),
- .d_write (d_write),
- .d_writedata (d_writedata),
- .debug_mem_slave_debugaccess_to_roms (debug_mem_slave_debugaccess_to_roms),
- .i_address (i_address),
- .i_read (i_read),
- .i_readdata (i_readdata),
- .i_waitrequest (i_waitrequest),
- .irq (irq),
- .debug_reset_request (debug_reset_request),
- .debug_mem_slave_address (debug_mem_slave_address),
- .debug_mem_slave_byteenable (debug_mem_slave_byteenable),
- .debug_mem_slave_debugaccess (debug_mem_slave_debugaccess),
- .debug_mem_slave_read (debug_mem_slave_read),
- .debug_mem_slave_readdata (debug_mem_slave_readdata),
- .debug_mem_slave_waitrequest (debug_mem_slave_waitrequest),
- .debug_mem_slave_write (debug_mem_slave_write),
- .debug_mem_slave_writedata (debug_mem_slave_writedata),
- .E_ci_multi_done (E_ci_multi_done),
- .E_ci_multi_clk_en (E_ci_multi_clk_en),
- .E_ci_multi_start (E_ci_multi_start),
- .E_ci_result (E_ci_result),
- .D_ci_a (D_ci_a),
- .D_ci_b (D_ci_b),
- .D_ci_c (D_ci_c),
- .D_ci_n (D_ci_n),
- .D_ci_readra (D_ci_readra),
- .D_ci_readrb (D_ci_readrb),
- .D_ci_writerc (D_ci_writerc),
- .E_ci_dataa (E_ci_dataa),
- .E_ci_datab (E_ci_datab),
- .E_ci_multi_clock (E_ci_multi_clock),
- .E_ci_multi_reset (E_ci_multi_reset),
- .E_ci_multi_reset_req (E_ci_multi_reset_req),
- .W_ci_estatus (W_ci_estatus),
- .W_ci_ipending (W_ci_ipending)
- );
- endmodule
|