complete-bd.tcl 56 KB

1234567891011121314151617181920212223242526272829303132333435363738394041424344454647484950515253545556575859606162636465666768697071727374757677787980818283848586878889909192939495969798991001011021031041051061071081091101111121131141151161171181191201211221231241251261271281291301311321331341351361371381391401411421431441451461471481491501511521531541551561571581591601611621631641651661671681691701711721731741751761771781791801811821831841851861871881891901911921931941951961971981992002012022032042052062072082092102112122132142152162172182192202212222232242252262272282292302312322332342352362372382392402412422432442452462472482492502512522532542552562572582592602612622632642652662672682692702712722732742752762772782792802812822832842852862872882892902912922932942952962972982993003013023033043053063073083093103113123133143153163173183193203213223233243253263273283293303313323333343353363373383393403413423433443453463473483493503513523533543553563573583593603613623633643653663673683693703713723733743753763773783793803813823833843853863873883893903913923933943953963973983994004014024034044054064074084094104114124134144154164174184194204214224234244254264274284294304314324334344354364374384394404414424434444454464474484494504514524534544554564574584594604614624634644654664674684694704714724734744754764774784794804814824834844854864874884894904914924934944954964974984995005015025035045055065075085095105115125135145155165175185195205215225235245255265275285295305315325335345355365375385395405415425435445455465475485495505515525535545555565575585595605615625635645655665675685695705715725735745755765775785795805815825835845855865875885895905915925935945955965975985996006016026036046056066076086096106116126136146156166176186196206216226236246256266276286296306316326336346356366376386396406416426436446456466476486496506516526536546556566576586596606616626636646656666676686696706716726736746756766776786796806816826836846856866876886896906916926936946956966976986997007017027037047057067077087097107117127137147157167177187197207217227237247257267277287297307317327337347357367377387397407417427437447457467477487497507517527537547557567577587597607617627637647657667677687697707717727737747757767777787797807817827837847857867877887897907917927937947957967977987998008018028038048058068078088098108118128138148158168178188198208218228238248258268278288298308318328338348358368378388398408418428438448458468478488498508518528538548558568578588598608618628638648658668678688698708718728738748758768778788798808818828838848858868878888898908918928938948958968978988999009019029039049059069079089099109119129139149159169179189199209219229239249259269279289299309319329339349359369379389399409419429439449459469479489499509519529539549559569579589599609619629639649659669679689699709719729739749759769779789799809819829839849859869879889899909919929939949959969979989991000100110021003100410051006100710081009101010111012101310141015101610171018101910201021102210231024102510261027102810291030103110321033103410351036103710381039104010411042104310441045104610471048104910501051105210531054105510561057105810591060106110621063106410651066106710681069107010711072107310741075107610771078107910801081108210831084108510861087108810891090109110921093109410951096109710981099110011011102110311041105110611071108
  1. # Set the reference directory for source file relative paths (by default the value is script directory path)
  2. set origin_dir "."
  3. # Use origin directory path location variable, if specified in the tcl shell
  4. if { [info exists ::origin_dir_loc] } {
  5. set origin_dir $::origin_dir_loc
  6. }
  7. # Set the project name
  8. set _xil_proj_name_ "complete-bd"
  9. # Use project name variable, if specified in the tcl shell
  10. if { [info exists ::user_project_name] } {
  11. set _xil_proj_name_ $::user_project_name
  12. }
  13. variable script_file
  14. set script_file ".exported.tcl"
  15. # Help information for this script
  16. proc print_help {} {
  17. variable script_file
  18. puts "\nDescription:"
  19. puts "Recreate a Vivado project from this script. The created project will be"
  20. puts "functionally equivalent to the original project for which this script was"
  21. puts "generated. The script contains commands for creating a project, filesets,"
  22. puts "runs, adding/importing sources and setting properties on various objects.\n"
  23. puts "Syntax:"
  24. puts "$script_file"
  25. puts "$script_file -tclargs \[--origin_dir <path>\]"
  26. puts "$script_file -tclargs \[--project_name <name>\]"
  27. puts "$script_file -tclargs \[--help\]\n"
  28. puts "Usage:"
  29. puts "Name Description"
  30. puts "-------------------------------------------------------------------------"
  31. puts "\[--origin_dir <path>\] Determine source file paths wrt this path. Default"
  32. puts " origin_dir path value is \".\", otherwise, the value"
  33. puts " that was set with the \"-paths_relative_to\" switch"
  34. puts " when this script was generated.\n"
  35. puts "\[--project_name <name>\] Create project with the specified name. Default"
  36. puts " name is the name of the project from where this"
  37. puts " script was generated.\n"
  38. puts "\[--help\] Print help information for this script"
  39. puts "-------------------------------------------------------------------------\n"
  40. exit 0
  41. }
  42. if { $::argc > 0 } {
  43. for {set i 0} {$i < $::argc} {incr i} {
  44. set option [string trim [lindex $::argv $i]]
  45. switch -regexp -- $option {
  46. "--origin_dir" { incr i; set origin_dir [lindex $::argv $i] }
  47. "--project_name" { incr i; set _xil_proj_name_ [lindex $::argv $i] }
  48. "--help" { print_help }
  49. default {
  50. if { [regexp {^-} $option] } {
  51. puts "ERROR: Unknown option '$option' specified, please type '$script_file -tclargs --help' for usage info.\n"
  52. return 1
  53. }
  54. }
  55. }
  56. }
  57. }
  58. # Set the directory path for the original project from where this script was exported
  59. set orig_proj_dir "[file normalize "sources/complete-bd"]"
  60. # Create project
  61. create_project complete-bd workspace/complete-bd
  62. # Set the directory path for the new project
  63. set proj_dir [get_property directory [current_project]]
  64. # Set project properties
  65. set obj [current_project]
  66. set_property -name "default_lib" -value "xil_defaultlib" -objects $obj
  67. set_property -name "dsa.accelerator_binary_content" -value "bitstream" -objects $obj
  68. set_property -name "dsa.accelerator_binary_format" -value "xclbin2" -objects $obj
  69. set_property -name "dsa.description" -value "Vivado generated DSA" -objects $obj
  70. set_property -name "dsa.dr_bd_base_address" -value "0" -objects $obj
  71. set_property -name "dsa.emu_dir" -value "emu" -objects $obj
  72. set_property -name "dsa.flash_interface_type" -value "bpix16" -objects $obj
  73. set_property -name "dsa.flash_offset_address" -value "0" -objects $obj
  74. set_property -name "dsa.flash_size" -value "1024" -objects $obj
  75. set_property -name "dsa.host_architecture" -value "x86_64" -objects $obj
  76. set_property -name "dsa.host_interface" -value "pcie" -objects $obj
  77. set_property -name "dsa.num_compute_units" -value "60" -objects $obj
  78. set_property -name "dsa.platform_state" -value "pre_synth" -objects $obj
  79. set_property -name "dsa.vendor" -value "xilinx" -objects $obj
  80. set_property -name "dsa.version" -value "0.0" -objects $obj
  81. set_property -name "enable_vhdl_2008" -value "1" -objects $obj
  82. set_property -name "ip_cache_permissions" -value "read write" -objects $obj
  83. set_property -name "ip_output_repo" -value "" -objects $obj
  84. set_property -name "mem.enable_memory_map_generation" -value "1" -objects $obj
  85. set_property -name "part" -value "xc7a100tcsg324-1" -objects $obj
  86. set_property -name "sim.central_dir" -value "" -objects $obj
  87. set_property -name "sim.ip.auto_export_scripts" -value "1" -objects $obj
  88. set_property -name "simulator_language" -value "Mixed" -objects $obj
  89. set_property -name "target_language" -value "VHDL" -objects $obj
  90. set_property -name "webtalk.activehdl_export_sim" -value "1" -objects $obj
  91. set_property -name "webtalk.ies_export_sim" -value "1" -objects $obj
  92. set_property -name "webtalk.modelsim_export_sim" -value "1" -objects $obj
  93. set_property -name "webtalk.questa_export_sim" -value "1" -objects $obj
  94. set_property -name "webtalk.riviera_export_sim" -value "1" -objects $obj
  95. set_property -name "webtalk.vcs_export_sim" -value "1" -objects $obj
  96. set_property -name "webtalk.xsim_export_sim" -value "1" -objects $obj
  97. set_property -name "webtalk.xsim_launch_sim" -value "3" -objects $obj
  98. set_property -name "xpm_libraries" -value "XPM_CDC XPM_MEMORY" -objects $obj
  99. # Create 'sources_1' fileset (if not found)
  100. if {[string equal [get_filesets -quiet sources_1] ""]} {
  101. create_fileset -srcset sources_1
  102. }
  103. # Set IP repository paths
  104. set obj [get_filesets sources_1]
  105. # set_property "ip_repo_paths" "[file normalize "$origin_dir/workspace/ip_repo"]" $obj
  106. set_property "ip_repo_paths" "[file normalize "workspace/ip_repo"]" $obj
  107. # Rebuild user ip_repo's index before adding any source files
  108. update_ip_catalog -rebuild
  109. puts "*** BEGINNING TO RECONSTRUCT BLOCK DESIGNS"
  110. foreach {bd_file} [glob sources/complete-bd.bd/*] {
  111. source $bd_file
  112. }
  113. puts "*** FINISHED RECONSTRUCTING BLOCK DESIGNS"
  114. # Set 'sources_1' fileset object
  115. set obj [get_filesets sources_1]
  116. # Import local files from the original project
  117. set files [list \
  118. [file normalize "${origin_dir}/workspace/complete-bd/complete-bd.srcs/sources_1/imports/src/Block_proc.vhd"]\
  119. [file normalize "${origin_dir}/workspace/complete-bd/complete-bd.srcs/sources_1/imports/src/Loop_Border_proc.vhd"]\
  120. [file normalize "${origin_dir}/workspace/complete-bd/complete-bd.srcs/sources_1/imports/src/Loop_Border_proc_borderbuf.vhd"]\
  121. [file normalize "${origin_dir}/workspace/complete-bd/complete-bd.srcs/sources_1/imports/src/Loop_HConvH_proc6.vhd"]\
  122. [file normalize "${origin_dir}/workspace/complete-bd/complete-bd.srcs/sources_1/imports/src/Loop_VConvH_proc.vhd"]\
  123. [file normalize "${origin_dir}/workspace/complete-bd/complete-bd.srcs/sources_1/imports/src/Loop_VConvH_proc_linebuf_0.vhd"]\
  124. [file normalize "${origin_dir}/workspace/complete-bd/complete-bd.srcs/sources_1/imports/src/globals.vhd"]\
  125. [file normalize "${origin_dir}/workspace/complete-bd/complete-bd.srcs/sources_1/imports/src/checksum.vhd"]\
  126. [file normalize "${origin_dir}/workspace/complete-bd/complete-bd.srcs/sources_1/imports/src/conv2d.vhd"]\
  127. [file normalize "${origin_dir}/workspace/complete-bd/complete-bd.srcs/sources_1/imports/src/conv2d_5x5_224p.vhd"]\
  128. [file normalize "${origin_dir}/workspace/complete-bd/complete-bd.srcs/sources_1/imports/src/dummyModule.vhd"]\
  129. [file normalize "${origin_dir}/workspace/complete-bd/complete-bd.srcs/sources_1/imports/src/fifo_w32_d2_A.vhd"]\
  130. [file normalize "${origin_dir}/workspace/complete-bd/complete-bd.srcs/sources_1/imports/src/fifo_w32_d3_A.vhd"]\
  131. [file normalize "${origin_dir}/workspace/complete-bd/complete-bd.srcs/sources_1/imports/src/filter11x11_strm.vhd"]\
  132. [file normalize "${origin_dir}/workspace/complete-bd/complete-bd.srcs/sources_1/imports/src/filter11x11_strm_ent.vhd"]\
  133. [file normalize "${origin_dir}/workspace/complete-bd/complete-bd.srcs/sources_1/imports/src/kernel_5x5.vhd"]\
  134. [file normalize "${origin_dir}/workspace/complete-bd/complete-bd.srcs/sources_1/imports/src/multiplex.vhd"]\
  135. [file normalize "${origin_dir}/workspace/complete-bd/complete-bd.srcs/sources_1/imports/src/ram.vhd"]\
  136. [file normalize "${origin_dir}/workspace/complete-bd/complete-bd.srcs/sources_1/imports/src/shiftIn.vhd"]\
  137. [file normalize "${origin_dir}/workspace/complete-bd/complete-bd.srcs/sources_1/imports/src/start_for_Block_proc_U0.vhd"]\
  138. [file normalize "${origin_dir}/workspace/complete-bd/complete-bd.srcs/sources_1/imports/src/start_for_Loop_Border_proc_U0.vhd"]\
  139. [file normalize "${origin_dir}/workspace/complete-bd/complete-bd.srcs/sources_1/imports/src/start_for_Loop_VConvH_proc_U0.vhd"]\
  140. [file normalize "${origin_dir}/workspace/complete-bd/complete-bd.srcs/sources_1/imports/src/packaging.vhd"]\
  141. [file normalize "${origin_dir}/workspace/complete-bd/complete-bd.srcs/sources_1/imports/src/shiftOut.vhd"]\
  142. [file normalize "${origin_dir}/workspace/complete-bd/complete-bd.srcs/sources_1/imports/src/neuron.vhd"]\
  143. [file normalize "${origin_dir}/workspace/complete-bd/complete-bd.srcs/sources_1/imports/src/mac.vhd"]\
  144. [file normalize "${origin_dir}/workspace/complete-bd/complete-bd.srcs/sources_1/imports/src/parallelize.vhd"]\
  145. [file normalize "${origin_dir}/workspace/complete-bd/complete-bd.srcs/sources_1/imports/src/relu.vhd"]\
  146. ]
  147. # set imported_files [import_files -fileset sources_1 $files]
  148. # Set 'sources_1' fileset file properties for remote files
  149. # None
  150. # Set 'sources_1' fileset file properties for local files
  151. set file "src/Block_proc.vhd"
  152. set file_obj [get_files -of_objects [get_filesets sources_1] [list "*$file"]]
  153. set_property -name "file_type" -value "VHDL" -objects $file_obj
  154. set file "src/Loop_Border_proc.vhd"
  155. set file_obj [get_files -of_objects [get_filesets sources_1] [list "*$file"]]
  156. set_property -name "file_type" -value "VHDL" -objects $file_obj
  157. set file "src/Loop_Border_proc_borderbuf.vhd"
  158. set file_obj [get_files -of_objects [get_filesets sources_1] [list "*$file"]]
  159. set_property -name "file_type" -value "VHDL" -objects $file_obj
  160. set file "src/Loop_HConvH_proc6.vhd"
  161. set file_obj [get_files -of_objects [get_filesets sources_1] [list "*$file"]]
  162. set_property -name "file_type" -value "VHDL" -objects $file_obj
  163. set file "src/Loop_VConvH_proc.vhd"
  164. set file_obj [get_files -of_objects [get_filesets sources_1] [list "*$file"]]
  165. set_property -name "file_type" -value "VHDL" -objects $file_obj
  166. set file "src/Loop_VConvH_proc_linebuf_0.vhd"
  167. set file_obj [get_files -of_objects [get_filesets sources_1] [list "*$file"]]
  168. set_property -name "file_type" -value "VHDL" -objects $file_obj
  169. set file "src/globals.vhd"
  170. set file_obj [get_files -of_objects [get_filesets sources_1] [list "*$file"]]
  171. set_property -name "file_type" -value "VHDL" -objects $file_obj
  172. set file "src/checksum.vhd"
  173. set file_obj [get_files -of_objects [get_filesets sources_1] [list "*$file"]]
  174. set_property -name "file_type" -value "VHDL" -objects $file_obj
  175. set file "src/conv2d.vhd"
  176. set file_obj [get_files -of_objects [get_filesets sources_1] [list "*$file"]]
  177. set_property -name "file_type" -value "VHDL" -objects $file_obj
  178. set file "src/conv2d_5x5_224p.vhd"
  179. set file_obj [get_files -of_objects [get_filesets sources_1] [list "*$file"]]
  180. set_property -name "file_type" -value "VHDL" -objects $file_obj
  181. set file "src/dummyModule.vhd"
  182. set file_obj [get_files -of_objects [get_filesets sources_1] [list "*$file"]]
  183. set_property -name "file_type" -value "VHDL" -objects $file_obj
  184. set file "src/fifo_w32_d2_A.vhd"
  185. set file_obj [get_files -of_objects [get_filesets sources_1] [list "*$file"]]
  186. set_property -name "file_type" -value "VHDL" -objects $file_obj
  187. set file "src/fifo_w32_d3_A.vhd"
  188. set file_obj [get_files -of_objects [get_filesets sources_1] [list "*$file"]]
  189. set_property -name "file_type" -value "VHDL" -objects $file_obj
  190. set file "src/filter11x11_strm.vhd"
  191. set file_obj [get_files -of_objects [get_filesets sources_1] [list "*$file"]]
  192. set_property -name "file_type" -value "VHDL" -objects $file_obj
  193. set file "src/filter11x11_strm_ent.vhd"
  194. set file_obj [get_files -of_objects [get_filesets sources_1] [list "*$file"]]
  195. set_property -name "file_type" -value "VHDL" -objects $file_obj
  196. set file "src/kernel_5x5.vhd"
  197. set file_obj [get_files -of_objects [get_filesets sources_1] [list "*$file"]]
  198. set_property -name "file_type" -value "VHDL" -objects $file_obj
  199. set file "src/multiplex.vhd"
  200. set file_obj [get_files -of_objects [get_filesets sources_1] [list "*$file"]]
  201. set_property -name "file_type" -value "VHDL" -objects $file_obj
  202. set file "src/ram.vhd"
  203. set file_obj [get_files -of_objects [get_filesets sources_1] [list "*$file"]]
  204. set_property -name "file_type" -value "VHDL" -objects $file_obj
  205. set file "src/shiftIn.vhd"
  206. set file_obj [get_files -of_objects [get_filesets sources_1] [list "*$file"]]
  207. set_property -name "file_type" -value "VHDL" -objects $file_obj
  208. set file "src/start_for_Block_proc_U0.vhd"
  209. set file_obj [get_files -of_objects [get_filesets sources_1] [list "*$file"]]
  210. set_property -name "file_type" -value "VHDL" -objects $file_obj
  211. set file "src/start_for_Loop_Border_proc_U0.vhd"
  212. set file_obj [get_files -of_objects [get_filesets sources_1] [list "*$file"]]
  213. set_property -name "file_type" -value "VHDL" -objects $file_obj
  214. set file "src/start_for_Loop_VConvH_proc_U0.vhd"
  215. set file_obj [get_files -of_objects [get_filesets sources_1] [list "*$file"]]
  216. set_property -name "file_type" -value "VHDL" -objects $file_obj
  217. set file "src/packaging.vhd"
  218. set file_obj [get_files -of_objects [get_filesets sources_1] [list "*$file"]]
  219. set_property -name "file_type" -value "VHDL" -objects $file_obj
  220. set file "src/shiftOut.vhd"
  221. set file_obj [get_files -of_objects [get_filesets sources_1] [list "*$file"]]
  222. set_property -name "file_type" -value "VHDL" -objects $file_obj
  223. set file "src/neuron.vhd"
  224. set file_obj [get_files -of_objects [get_filesets sources_1] [list "*$file"]]
  225. set_property -name "file_type" -value "VHDL" -objects $file_obj
  226. set file "src/mac.vhd"
  227. set file_obj [get_files -of_objects [get_filesets sources_1] [list "*$file"]]
  228. set_property -name "file_type" -value "VHDL" -objects $file_obj
  229. set file "src/parallelize.vhd"
  230. set file_obj [get_files -of_objects [get_filesets sources_1] [list "*$file"]]
  231. set_property -name "file_type" -value "VHDL" -objects $file_obj
  232. set file "src/relu.vhd"
  233. set file_obj [get_files -of_objects [get_filesets sources_1] [list "*$file"]]
  234. set_property -name "file_type" -value "VHDL" -objects $file_obj
  235. # Set 'sources_1' fileset properties
  236. set obj [get_filesets sources_1]
  237. set_property -name "top" -value "packaging" -objects $obj
  238. set_property -name "top_arch" -value "Behavioral" -objects $obj
  239. set_property -name "top_file" -value "sources/complete-bd/complete-bd.srcs/sources_1/imports/src/packaging.vhd" -objects $obj
  240. set_property -name "top_lib" -value "xil_defaultlib" -objects $obj
  241. # Create 'constrs_1' fileset (if not found)
  242. if {[string equal [get_filesets -quiet constrs_1] ""]} {
  243. create_fileset -constrset constrs_1
  244. }
  245. # Set 'constrs_1' fileset object
  246. set obj [get_filesets constrs_1]
  247. # Empty (no sources present)
  248. # Set 'constrs_1' fileset properties
  249. set obj [get_filesets constrs_1]
  250. set_property -name "target_part" -value "xc7a100tcsg324-1" -objects $obj
  251. # Create 'sim_1' fileset (if not found)
  252. if {[string equal [get_filesets -quiet sim_1] ""]} {
  253. create_fileset -simset sim_1
  254. }
  255. # Set 'sim_1' fileset object
  256. set obj [get_filesets sim_1]
  257. # Import local files from the original project
  258. set files [list \
  259. [file normalize "${origin_dir}/workspace/complete-bd/complete-bd.srcs/sources_1/imports/src/tb.vhd"]\
  260. ]
  261. # set imported_files [import_files -fileset sim_1 $files]
  262. # Set 'sim_1' fileset file properties for remote files
  263. # None
  264. # Set 'sim_1' fileset file properties for local files
  265. set file "src/tb.vhd"
  266. set file_obj [get_files -of_objects [get_filesets sim_1] [list "*$file"]]
  267. set_property -name "file_type" -value "VHDL" -objects $file_obj
  268. # Set 'sim_1' fileset properties
  269. set obj [get_filesets sim_1]
  270. set_property -name "top" -value "tb_module" -objects $obj
  271. set_property -name "top_auto_set" -value "0" -objects $obj
  272. set_property -name "top_lib" -value "xil_defaultlib" -objects $obj
  273. # Set 'utils_1' fileset object
  274. set obj [get_filesets utils_1]
  275. # Empty (no sources present)
  276. # Set 'utils_1' fileset properties
  277. set obj [get_filesets utils_1]
  278. # Adding sources referenced in BDs, if not already added
  279. if { [get_files Block_proc.vhd] == "" } {
  280. import_files -quiet -fileset sources_1 /home/windows/repos/vhdl-modules/workspace/complete-bd/complete-bd.srcs/sources_1/imports/src/Block_proc.vhd
  281. }
  282. if { [get_files Loop_Border_proc.vhd] == "" } {
  283. import_files -quiet -fileset sources_1 /home/windows/repos/vhdl-modules/workspace/complete-bd/complete-bd.srcs/sources_1/imports/src/Loop_Border_proc.vhd
  284. }
  285. if { [get_files Loop_Border_proc_borderbuf.vhd] == "" } {
  286. import_files -quiet -fileset sources_1 /home/windows/repos/vhdl-modules/workspace/complete-bd/complete-bd.srcs/sources_1/imports/src/Loop_Border_proc_borderbuf.vhd
  287. }
  288. if { [get_files Loop_HConvH_proc6.vhd] == "" } {
  289. import_files -quiet -fileset sources_1 /home/windows/repos/vhdl-modules/workspace/complete-bd/complete-bd.srcs/sources_1/imports/src/Loop_HConvH_proc6.vhd
  290. }
  291. if { [get_files Loop_VConvH_proc.vhd] == "" } {
  292. import_files -quiet -fileset sources_1 /home/windows/repos/vhdl-modules/workspace/complete-bd/complete-bd.srcs/sources_1/imports/src/Loop_VConvH_proc.vhd
  293. }
  294. if { [get_files Loop_VConvH_proc_linebuf_0.vhd] == "" } {
  295. import_files -quiet -fileset sources_1 /home/windows/repos/vhdl-modules/workspace/complete-bd/complete-bd.srcs/sources_1/imports/src/Loop_VConvH_proc_linebuf_0.vhd
  296. }
  297. if { [get_files globals.vhd] == "" } {
  298. import_files -quiet -fileset sources_1 /home/windows/repos/vhdl-modules/workspace/complete-bd/complete-bd.srcs/sources_1/imports/src/globals.vhd
  299. }
  300. if { [get_files checksum.vhd] == "" } {
  301. import_files -quiet -fileset sources_1 /home/windows/repos/vhdl-modules/workspace/complete-bd/complete-bd.srcs/sources_1/imports/src/checksum.vhd
  302. }
  303. if { [get_files conv2d.vhd] == "" } {
  304. import_files -quiet -fileset sources_1 /home/windows/repos/vhdl-modules/workspace/complete-bd/complete-bd.srcs/sources_1/imports/src/conv2d.vhd
  305. }
  306. if { [get_files conv2d_5x5_224p.vhd] == "" } {
  307. import_files -quiet -fileset sources_1 /home/windows/repos/vhdl-modules/workspace/complete-bd/complete-bd.srcs/sources_1/imports/src/conv2d_5x5_224p.vhd
  308. }
  309. if { [get_files dummyModule.vhd] == "" } {
  310. import_files -quiet -fileset sources_1 /home/windows/repos/vhdl-modules/workspace/complete-bd/complete-bd.srcs/sources_1/imports/src/dummyModule.vhd
  311. }
  312. if { [get_files fifo_w32_d2_A.vhd] == "" } {
  313. import_files -quiet -fileset sources_1 /home/windows/repos/vhdl-modules/workspace/complete-bd/complete-bd.srcs/sources_1/imports/src/fifo_w32_d2_A.vhd
  314. }
  315. if { [get_files fifo_w32_d3_A.vhd] == "" } {
  316. import_files -quiet -fileset sources_1 /home/windows/repos/vhdl-modules/workspace/complete-bd/complete-bd.srcs/sources_1/imports/src/fifo_w32_d3_A.vhd
  317. }
  318. if { [get_files filter11x11_strm.vhd] == "" } {
  319. import_files -quiet -fileset sources_1 /home/windows/repos/vhdl-modules/workspace/complete-bd/complete-bd.srcs/sources_1/imports/src/filter11x11_strm.vhd
  320. }
  321. if { [get_files filter11x11_strm_ent.vhd] == "" } {
  322. import_files -quiet -fileset sources_1 /home/windows/repos/vhdl-modules/workspace/complete-bd/complete-bd.srcs/sources_1/imports/src/filter11x11_strm_ent.vhd
  323. }
  324. if { [get_files kernel_5x5.vhd] == "" } {
  325. import_files -quiet -fileset sources_1 /home/windows/repos/vhdl-modules/workspace/complete-bd/complete-bd.srcs/sources_1/imports/src/kernel_5x5.vhd
  326. }
  327. if { [get_files multiplex.vhd] == "" } {
  328. import_files -quiet -fileset sources_1 /home/windows/repos/vhdl-modules/workspace/complete-bd/complete-bd.srcs/sources_1/imports/src/multiplex.vhd
  329. }
  330. if { [get_files ram.vhd] == "" } {
  331. import_files -quiet -fileset sources_1 /home/windows/repos/vhdl-modules/workspace/complete-bd/complete-bd.srcs/sources_1/imports/src/ram.vhd
  332. }
  333. if { [get_files shiftIn.vhd] == "" } {
  334. import_files -quiet -fileset sources_1 /home/windows/repos/vhdl-modules/workspace/complete-bd/complete-bd.srcs/sources_1/imports/src/shiftIn.vhd
  335. }
  336. if { [get_files start_for_Block_proc_U0.vhd] == "" } {
  337. import_files -quiet -fileset sources_1 /home/windows/repos/vhdl-modules/workspace/complete-bd/complete-bd.srcs/sources_1/imports/src/start_for_Block_proc_U0.vhd
  338. }
  339. if { [get_files start_for_Loop_Border_proc_U0.vhd] == "" } {
  340. import_files -quiet -fileset sources_1 /home/windows/repos/vhdl-modules/workspace/complete-bd/complete-bd.srcs/sources_1/imports/src/start_for_Loop_Border_proc_U0.vhd
  341. }
  342. if { [get_files start_for_Loop_VConvH_proc_U0.vhd] == "" } {
  343. import_files -quiet -fileset sources_1 /home/windows/repos/vhdl-modules/workspace/complete-bd/complete-bd.srcs/sources_1/imports/src/start_for_Loop_VConvH_proc_U0.vhd
  344. }
  345. if { [get_files packaging.vhd] == "" } {
  346. import_files -quiet -fileset sources_1 /home/windows/repos/vhdl-modules/workspace/complete-bd/complete-bd.srcs/sources_1/imports/src/packaging.vhd
  347. }
  348. # Proc to create BD design_1
  349. proc cr_bd_design_1 { parentCell } {
  350. # The design that will be created by this Tcl proc contains the following
  351. # module references:
  352. # packaging
  353. # CHANGE DESIGN NAME HERE
  354. set design_name design_1
  355. common::send_msg_id "BD_TCL-003" "INFO" "Currently there is no design <$design_name> in project, so creating one..."
  356. create_bd_design $design_name
  357. set bCheckIPsPassed 1
  358. ##################################################################
  359. # CHECK IPs
  360. ##################################################################
  361. set bCheckIPs 1
  362. if { $bCheckIPs == 1 } {
  363. set list_check_ips "\
  364. xilinx.com:ip:c_counter_binary:12.0\
  365. xilinx.com:user:ethernet_transceiver2:1.0\
  366. xilinx.com:ip:fifo_generator:13.2\
  367. xilinx.com:ip:c_addsub:12.0\
  368. xilinx.com:user:segment:1.0\
  369. xilinx.com:ip:xlconcat:2.1\
  370. xilinx.com:ip:xlconstant:1.1\
  371. xilinx.com:ip:xlslice:1.0\
  372. "
  373. set list_ips_missing ""
  374. common::send_msg_id "BD_TCL-006" "INFO" "Checking if the following IPs exist in the project's IP catalog: $list_check_ips ."
  375. foreach ip_vlnv $list_check_ips {
  376. set ip_obj [get_ipdefs -all $ip_vlnv]
  377. if { $ip_obj eq "" } {
  378. lappend list_ips_missing $ip_vlnv
  379. }
  380. }
  381. if { $list_ips_missing ne "" } {
  382. catch {common::send_msg_id "BD_TCL-115" "ERROR" "The following IPs are not found in the IP Catalog:\n $list_ips_missing\n\nResolution: Please add the repository containing the IP(s) to the project." }
  383. set bCheckIPsPassed 0
  384. }
  385. }
  386. ##################################################################
  387. # CHECK Modules
  388. ##################################################################
  389. set bCheckModules 1
  390. if { $bCheckModules == 1 } {
  391. set list_check_mods "\
  392. packaging\
  393. "
  394. set list_mods_missing ""
  395. common::send_msg_id "BD_TCL-006" "INFO" "Checking if the following modules exist in the project's sources: $list_check_mods ."
  396. foreach mod_vlnv $list_check_mods {
  397. if { [can_resolve_reference $mod_vlnv] == 0 } {
  398. lappend list_mods_missing $mod_vlnv
  399. }
  400. }
  401. if { $list_mods_missing ne "" } {
  402. catch {common::send_msg_id "BD_TCL-115" "ERROR" "The following module(s) are not found in the project: $list_mods_missing" }
  403. common::send_msg_id "BD_TCL-008" "INFO" "Please add source files for the missing module(s) above."
  404. set bCheckIPsPassed 0
  405. }
  406. }
  407. if { $bCheckIPsPassed != 1 } {
  408. common::send_msg_id "BD_TCL-1003" "WARNING" "Will not continue with creation of design due to the error(s) above."
  409. return 3
  410. }
  411. variable script_folder
  412. if { $parentCell eq "" } {
  413. set parentCell [get_bd_cells /]
  414. }
  415. # Get object for parentCell
  416. set parentObj [get_bd_cells $parentCell]
  417. if { $parentObj == "" } {
  418. catch {common::send_msg_id "BD_TCL-100" "ERROR" "Unable to find parent cell <$parentCell>!"}
  419. return
  420. }
  421. # Make sure parentObj is hier blk
  422. set parentType [get_property TYPE $parentObj]
  423. if { $parentType ne "hier" } {
  424. catch {common::send_msg_id "BD_TCL-101" "ERROR" "Parent <$parentObj> has TYPE = <$parentType>. Expected to be <hier>."}
  425. return
  426. }
  427. # Save current instance; Restore later
  428. set oldCurInst [current_bd_instance .]
  429. # Set parent object as current
  430. current_bd_instance $parentObj
  431. # Create interface ports
  432. # Create ports
  433. set anodes_0 [ create_bd_port -dir O -from 0 -to 7 anodes_0 ]
  434. set cathodes_0 [ create_bd_port -dir O -from 0 -to 7 cathodes_0 ]
  435. set clk_100MHz [ create_bd_port -dir I -type clk clk_100MHz ]
  436. set_property -dict [ list \
  437. CONFIG.FREQ_HZ {100000000} \
  438. ] $clk_100MHz
  439. set eth_crsdv_0 [ create_bd_port -dir IO eth_crsdv_0 ]
  440. set eth_mdc_0 [ create_bd_port -dir O eth_mdc_0 ]
  441. set eth_mdio_0 [ create_bd_port -dir IO eth_mdio_0 ]
  442. set eth_refclk_0 [ create_bd_port -dir O eth_refclk_0 ]
  443. set eth_rstn_0 [ create_bd_port -dir IO -type rst eth_rstn_0 ]
  444. set eth_rxd_0 [ create_bd_port -dir IO -from 1 -to 0 eth_rxd_0 ]
  445. set eth_rxerr_0 [ create_bd_port -dir IO eth_rxerr_0 ]
  446. set eth_txd_0 [ create_bd_port -dir IO -from 1 -to 0 eth_txd_0 ]
  447. set eth_txen_0 [ create_bd_port -dir IO eth_txen_0 ]
  448. set led16_b_0 [ create_bd_port -dir O led16_b_0 ]
  449. set led16_g_0 [ create_bd_port -dir O led16_g_0 ]
  450. set led16_r_0 [ create_bd_port -dir O led16_r_0 ]
  451. set led17_b_0 [ create_bd_port -dir O led17_b_0 ]
  452. set led17_g_0 [ create_bd_port -dir O led17_g_0 ]
  453. set led17_r_0 [ create_bd_port -dir O led17_r_0 ]
  454. set led_0 [ create_bd_port -dir O -from 15 -to 0 led_0 ]
  455. set reset_rtl_0 [ create_bd_port -dir I -type rst reset_rtl_0 ]
  456. set_property -dict [ list \
  457. CONFIG.POLARITY {ACTIVE_LOW} \
  458. ] $reset_rtl_0
  459. set sw_0 [ create_bd_port -dir I -from 4 -to 0 sw_0 ]
  460. # Create instance: c_counter_binary_0, and set properties
  461. set c_counter_binary_0 [ create_bd_cell -type ip -vlnv xilinx.com:ip:c_counter_binary:12.0 c_counter_binary_0 ]
  462. set_property -dict [ list \
  463. CONFIG.CE {true} \
  464. CONFIG.Fb_Latency {2} \
  465. CONFIG.Fb_Latency_Configuration {Automatic} \
  466. CONFIG.Final_Count_Value {270F} \
  467. CONFIG.Latency_Configuration {Automatic} \
  468. CONFIG.Restrict_Count {true} \
  469. CONFIG.SCLR {true} \
  470. CONFIG.SSET {false} \
  471. ] $c_counter_binary_0
  472. # Create instance: c_counter_binary_1, and set properties
  473. set c_counter_binary_1 [ create_bd_cell -type ip -vlnv xilinx.com:ip:c_counter_binary:12.0 c_counter_binary_1 ]
  474. set_property -dict [ list \
  475. CONFIG.CE {true} \
  476. CONFIG.Fb_Latency {2} \
  477. CONFIG.Fb_Latency_Configuration {Automatic} \
  478. CONFIG.Final_Count_Value {270F} \
  479. CONFIG.Latency_Configuration {Automatic} \
  480. CONFIG.Restrict_Count {true} \
  481. CONFIG.SCLR {true} \
  482. CONFIG.SSET {false} \
  483. ] $c_counter_binary_1
  484. # Create instance: ethernet_transceiver2_0, and set properties
  485. set ethernet_transceiver2_0 [ create_bd_cell -type ip -vlnv xilinx.com:user:ethernet_transceiver2:1.0 ethernet_transceiver2_0 ]
  486. # Create instance: fifo_input, and set properties
  487. set fifo_input [ create_bd_cell -type ip -vlnv xilinx.com:ip:fifo_generator:13.2 fifo_input ]
  488. set_property -dict [ list \
  489. CONFIG.Almost_Empty_Flag {false} \
  490. CONFIG.Data_Count {false} \
  491. CONFIG.Data_Count_Width {6} \
  492. CONFIG.Empty_Threshold_Assert_Value {2} \
  493. CONFIG.Empty_Threshold_Assert_Value_rach {1022} \
  494. CONFIG.Empty_Threshold_Assert_Value_wach {1022} \
  495. CONFIG.Empty_Threshold_Assert_Value_wrch {1022} \
  496. CONFIG.Empty_Threshold_Negate_Value {3} \
  497. CONFIG.Enable_Safety_Circuit {false} \
  498. CONFIG.FIFO_Implementation_rach {Common_Clock_Distributed_RAM} \
  499. CONFIG.FIFO_Implementation_wach {Common_Clock_Distributed_RAM} \
  500. CONFIG.FIFO_Implementation_wrch {Common_Clock_Distributed_RAM} \
  501. CONFIG.Fifo_Implementation {Common_Clock_Distributed_RAM} \
  502. CONFIG.Full_Flags_Reset_Value {0} \
  503. CONFIG.Full_Threshold_Assert_Value {62} \
  504. CONFIG.Full_Threshold_Assert_Value_rach {1023} \
  505. CONFIG.Full_Threshold_Assert_Value_wach {1023} \
  506. CONFIG.Full_Threshold_Assert_Value_wrch {1023} \
  507. CONFIG.Full_Threshold_Negate_Value {61} \
  508. CONFIG.INTERFACE_TYPE {Native} \
  509. CONFIG.Input_Data_Width {32} \
  510. CONFIG.Input_Depth {64} \
  511. CONFIG.Output_Data_Width {32} \
  512. CONFIG.Output_Depth {64} \
  513. CONFIG.Overflow_Flag {true} \
  514. CONFIG.Performance_Options {Standard_FIFO} \
  515. CONFIG.Programmable_Empty_Type {No_Programmable_Empty_Threshold} \
  516. CONFIG.Programmable_Full_Type {No_Programmable_Full_Threshold} \
  517. CONFIG.Read_Data_Count {false} \
  518. CONFIG.Read_Data_Count_Width {6} \
  519. CONFIG.Reset_Pin {true} \
  520. CONFIG.Reset_Type {Synchronous_Reset} \
  521. CONFIG.Underflow_Flag {false} \
  522. CONFIG.Use_Dout_Reset {true} \
  523. CONFIG.Use_Embedded_Registers {false} \
  524. CONFIG.Use_Extra_Logic {false} \
  525. CONFIG.Valid_Flag {false} \
  526. CONFIG.Write_Data_Count {false} \
  527. CONFIG.Write_Data_Count_Width {6} \
  528. ] $fifo_input
  529. # Create instance: fifo_output, and set properties
  530. set fifo_output [ create_bd_cell -type ip -vlnv xilinx.com:ip:fifo_generator:13.2 fifo_output ]
  531. set_property -dict [ list \
  532. CONFIG.Almost_Empty_Flag {false} \
  533. CONFIG.Almost_Full_Flag {false} \
  534. CONFIG.Data_Count {false} \
  535. CONFIG.Data_Count_Width {9} \
  536. CONFIG.Empty_Threshold_Assert_Value {2} \
  537. CONFIG.Empty_Threshold_Assert_Value_rach {1022} \
  538. CONFIG.Empty_Threshold_Assert_Value_wach {1022} \
  539. CONFIG.Empty_Threshold_Assert_Value_wrch {1022} \
  540. CONFIG.Empty_Threshold_Negate_Value {3} \
  541. CONFIG.Enable_Safety_Circuit {false} \
  542. CONFIG.FIFO_Implementation_rach {Common_Clock_Distributed_RAM} \
  543. CONFIG.FIFO_Implementation_wach {Common_Clock_Distributed_RAM} \
  544. CONFIG.FIFO_Implementation_wrch {Common_Clock_Distributed_RAM} \
  545. CONFIG.Fifo_Implementation {Independent_Clocks_Distributed_RAM} \
  546. CONFIG.Full_Flags_Reset_Value {1} \
  547. CONFIG.Full_Threshold_Assert_Value {509} \
  548. CONFIG.Full_Threshold_Assert_Value_rach {1023} \
  549. CONFIG.Full_Threshold_Assert_Value_wach {1023} \
  550. CONFIG.Full_Threshold_Assert_Value_wrch {1023} \
  551. CONFIG.Full_Threshold_Negate_Value {508} \
  552. CONFIG.INTERFACE_TYPE {Native} \
  553. CONFIG.Input_Data_Width {32} \
  554. CONFIG.Input_Depth {512} \
  555. CONFIG.Output_Data_Width {32} \
  556. CONFIG.Output_Depth {512} \
  557. CONFIG.Overflow_Flag {true} \
  558. CONFIG.Performance_Options {Standard_FIFO} \
  559. CONFIG.Programmable_Empty_Type {No_Programmable_Empty_Threshold} \
  560. CONFIG.Programmable_Full_Type {No_Programmable_Full_Threshold} \
  561. CONFIG.Read_Data_Count {true} \
  562. CONFIG.Read_Data_Count_Width {9} \
  563. CONFIG.Reset_Pin {true} \
  564. CONFIG.Reset_Type {Asynchronous_Reset} \
  565. CONFIG.Underflow_Flag {false} \
  566. CONFIG.Use_Dout_Reset {true} \
  567. CONFIG.Use_Embedded_Registers {false} \
  568. CONFIG.Use_Extra_Logic {false} \
  569. CONFIG.Valid_Flag {false} \
  570. CONFIG.Write_Data_Count {false} \
  571. CONFIG.Write_Data_Count_Width {9} \
  572. ] $fifo_output
  573. # Create instance: negate_0, and set properties
  574. set negate_0 [ create_bd_cell -type ip -vlnv xilinx.com:ip:c_addsub:12.0 negate_0 ]
  575. set_property -dict [ list \
  576. CONFIG.A_Type {Unsigned} \
  577. CONFIG.A_Width {1} \
  578. CONFIG.Add_Mode {Add} \
  579. CONFIG.B_Constant {true} \
  580. CONFIG.B_Type {Unsigned} \
  581. CONFIG.B_Value {1} \
  582. CONFIG.B_Width {1} \
  583. CONFIG.CE {false} \
  584. CONFIG.Latency {1} \
  585. CONFIG.Latency_Configuration {Automatic} \
  586. CONFIG.Out_Width {1} \
  587. ] $negate_0
  588. # Create instance: packaging_0, and set properties
  589. set block_name packaging
  590. set block_cell_name packaging_0
  591. if { [catch {set packaging_0 [create_bd_cell -type module -reference $block_name $block_cell_name] } errmsg] } {
  592. catch {common::send_msg_id "BD_TCL-105" "ERROR" "Unable to add referenced block <$block_name>. Please add the files for ${block_name}'s definition into the project."}
  593. return 1
  594. } elseif { $packaging_0 eq "" } {
  595. catch {common::send_msg_id "BD_TCL-106" "ERROR" "Unable to referenced block <$block_name>. Please add the files for ${block_name}'s definition into the project."}
  596. return 1
  597. }
  598. # Create instance: segment_0, and set properties
  599. set segment_0 [ create_bd_cell -type ip -vlnv xilinx.com:user:segment:1.0 segment_0 ]
  600. # Create instance: xlconcat_4, and set properties
  601. set xlconcat_4 [ create_bd_cell -type ip -vlnv xilinx.com:ip:xlconcat:2.1 xlconcat_4 ]
  602. set_property -dict [ list \
  603. CONFIG.IN0_WIDTH {4} \
  604. CONFIG.IN1_WIDTH {4} \
  605. CONFIG.IN2_WIDTH {8} \
  606. CONFIG.IN3_WIDTH {2} \
  607. CONFIG.IN4_WIDTH {5} \
  608. CONFIG.NUM_PORTS {3} \
  609. ] $xlconcat_4
  610. # Create instance: xlconcat_5, and set properties
  611. set xlconcat_5 [ create_bd_cell -type ip -vlnv xilinx.com:ip:xlconcat:2.1 xlconcat_5 ]
  612. set_property -dict [ list \
  613. CONFIG.IN0_WIDTH {9} \
  614. CONFIG.IN1_WIDTH {7} \
  615. CONFIG.IN2_WIDTH {8} \
  616. CONFIG.IN3_WIDTH {2} \
  617. CONFIG.IN4_WIDTH {5} \
  618. CONFIG.NUM_PORTS {2} \
  619. ] $xlconcat_5
  620. # Create instance: xlconstant_0, and set properties
  621. set xlconstant_0 [ create_bd_cell -type ip -vlnv xilinx.com:ip:xlconstant:1.1 xlconstant_0 ]
  622. set_property -dict [ list \
  623. CONFIG.CONST_VAL {0} \
  624. CONFIG.CONST_WIDTH {16} \
  625. ] $xlconstant_0
  626. # Create instance: xlconstant_1, and set properties
  627. set xlconstant_1 [ create_bd_cell -type ip -vlnv xilinx.com:ip:xlconstant:1.1 xlconstant_1 ]
  628. set_property -dict [ list \
  629. CONFIG.CONST_VAL {0} \
  630. CONFIG.CONST_WIDTH {7} \
  631. ] $xlconstant_1
  632. # Create instance: xlslice_0, and set properties
  633. set xlslice_0 [ create_bd_cell -type ip -vlnv xilinx.com:ip:xlslice:1.0 xlslice_0 ]
  634. set_property -dict [ list \
  635. CONFIG.DIN_FROM {7} \
  636. CONFIG.DIN_TO {0} \
  637. CONFIG.DIN_WIDTH {16} \
  638. CONFIG.DOUT_WIDTH {8} \
  639. ] $xlslice_0
  640. # Create interface connections
  641. connect_bd_intf_net -intf_net ethernet_transceiver2_0_fifo_read [get_bd_intf_pins ethernet_transceiver2_0/fifo_read] [get_bd_intf_pins fifo_output/FIFO_READ]
  642. connect_bd_intf_net -intf_net ethernet_transceiver2_0_fifo_write [get_bd_intf_pins ethernet_transceiver2_0/fifo_write] [get_bd_intf_pins fifo_input/FIFO_WRITE]
  643. # Create port connections
  644. connect_bd_net -net Net [get_bd_ports eth_rxd_0] [get_bd_pins ethernet_transceiver2_0/eth_rxd]
  645. connect_bd_net -net Net1 [get_bd_ports eth_txd_0] [get_bd_pins ethernet_transceiver2_0/eth_txd]
  646. connect_bd_net -net Net2 [get_bd_ports eth_crsdv_0] [get_bd_pins ethernet_transceiver2_0/eth_crsdv]
  647. connect_bd_net -net Net3 [get_bd_ports eth_txen_0] [get_bd_pins ethernet_transceiver2_0/eth_txen]
  648. connect_bd_net -net Net4 [get_bd_ports eth_rxerr_0] [get_bd_pins ethernet_transceiver2_0/eth_rxerr]
  649. connect_bd_net -net Net5 [get_bd_ports eth_mdio_0] [get_bd_pins ethernet_transceiver2_0/eth_mdio]
  650. connect_bd_net -net Net6 [get_bd_ports eth_rstn_0] [get_bd_pins ethernet_transceiver2_0/eth_rstn]
  651. connect_bd_net -net c_counter_binary_0_Q [get_bd_pins c_counter_binary_0/Q] [get_bd_pins segment_0/num2]
  652. connect_bd_net -net c_counter_binary_1_Q [get_bd_pins c_counter_binary_1/Q] [get_bd_pins segment_0/num1]
  653. connect_bd_net -net clk_wiz_clk_out1 [get_bd_ports clk_100MHz] [get_bd_pins c_counter_binary_0/CLK] [get_bd_pins c_counter_binary_1/CLK] [get_bd_pins ethernet_transceiver2_0/clk100mhz] [get_bd_pins fifo_input/clk] [get_bd_pins fifo_output/wr_clk] [get_bd_pins negate_0/CLK] [get_bd_pins packaging_0/clk] [get_bd_pins segment_0/clk]
  654. connect_bd_net -net ethernet_transceiver2_0_eth_mdc [get_bd_ports eth_mdc_0] [get_bd_pins ethernet_transceiver2_0/eth_mdc]
  655. connect_bd_net -net ethernet_transceiver2_0_eth_refclk [get_bd_ports eth_refclk_0] [get_bd_pins ethernet_transceiver2_0/eth_refclk] [get_bd_pins fifo_output/rd_clk]
  656. connect_bd_net -net ethernet_transceiver2_0_led16_b [get_bd_ports led16_b_0] [get_bd_pins ethernet_transceiver2_0/led16_b]
  657. connect_bd_net -net ethernet_transceiver2_0_led16_g [get_bd_ports led16_g_0] [get_bd_pins ethernet_transceiver2_0/led16_g]
  658. connect_bd_net -net ethernet_transceiver2_0_led16_r [get_bd_ports led16_r_0] [get_bd_pins ethernet_transceiver2_0/led16_r]
  659. connect_bd_net -net ethernet_transceiver2_0_led17_b [get_bd_ports led17_b_0] [get_bd_pins ethernet_transceiver2_0/led17_b]
  660. connect_bd_net -net ethernet_transceiver2_0_led17_g [get_bd_ports led17_g_0] [get_bd_pins ethernet_transceiver2_0/led17_g]
  661. connect_bd_net -net ethernet_transceiver2_0_led17_r [get_bd_ports led17_r_0] [get_bd_pins ethernet_transceiver2_0/led17_r]
  662. connect_bd_net -net fifo_input_dout [get_bd_pins fifo_input/dout] [get_bd_pins packaging_0/inputStream]
  663. connect_bd_net -net fifo_input_empty [get_bd_pins fifo_input/empty] [get_bd_pins packaging_0/inputEmpty]
  664. connect_bd_net -net fifo_input_overflow [get_bd_pins c_counter_binary_1/CE] [get_bd_pins fifo_input/overflow]
  665. connect_bd_net -net fifo_output_full [get_bd_pins fifo_output/full] [get_bd_pins packaging_0/outputFull]
  666. connect_bd_net -net fifo_output_overflow [get_bd_pins c_counter_binary_0/CE] [get_bd_pins fifo_output/overflow]
  667. connect_bd_net -net fifo_output_rd_data_count [get_bd_pins fifo_output/rd_data_count] [get_bd_pins xlconcat_5/In0]
  668. connect_bd_net -net packaging_0_errorCode [get_bd_pins packaging_0/errorCode] [get_bd_pins xlconcat_4/In0]
  669. connect_bd_net -net packaging_0_inpRdEn [get_bd_pins fifo_input/rd_en] [get_bd_pins packaging_0/inpRdEn]
  670. connect_bd_net -net packaging_0_outData [get_bd_pins fifo_output/din] [get_bd_pins packaging_0/outData]
  671. connect_bd_net -net packaging_0_outWrEn [get_bd_pins fifo_output/wr_en] [get_bd_pins packaging_0/outWrEn]
  672. connect_bd_net -net packaging_0_stateOut [get_bd_pins packaging_0/stateOut] [get_bd_pins xlconcat_4/In1]
  673. connect_bd_net -net rst_clk_wiz_100M_peripheral_aresetn [get_bd_ports reset_rtl_0] [get_bd_pins ethernet_transceiver2_0/btn_reset] [get_bd_pins negate_0/A] [get_bd_pins packaging_0/rst]
  674. connect_bd_net -net segment_0_anodes [get_bd_ports anodes_0] [get_bd_pins segment_0/anodes]
  675. connect_bd_net -net segment_0_cathodes [get_bd_ports cathodes_0] [get_bd_pins segment_0/cathodes]
  676. connect_bd_net -net sw_0_1 [get_bd_ports sw_0] [get_bd_pins ethernet_transceiver2_0/ip]
  677. connect_bd_net -net xlconcat_4_dout [get_bd_ports led_0] [get_bd_pins xlconcat_4/dout]
  678. connect_bd_net -net xlconcat_5_dout [get_bd_pins ethernet_transceiver2_0/fifo_read_length] [get_bd_pins xlconcat_5/dout] [get_bd_pins xlslice_0/Din]
  679. connect_bd_net -net xlconstant_0_dout [get_bd_pins ethernet_transceiver2_0/udp_packet_checksum] [get_bd_pins xlconstant_0/dout]
  680. connect_bd_net -net xlconstant_1_dout [get_bd_pins xlconcat_5/In1] [get_bd_pins xlconstant_1/dout]
  681. connect_bd_net -net xlslice_0_Dout [get_bd_pins xlconcat_4/In2] [get_bd_pins xlslice_0/Dout]
  682. connect_bd_net -net xlslice_1_Dout [get_bd_pins c_counter_binary_0/SCLR] [get_bd_pins c_counter_binary_1/SCLR] [get_bd_pins fifo_input/srst] [get_bd_pins fifo_output/rst] [get_bd_pins negate_0/S]
  683. # Create address segments
  684. # Restore current instance
  685. current_bd_instance $oldCurInst
  686. save_bd_design
  687. common::send_msg_id "BD_TCL-1000" "WARNING" "This Tcl script was generated from a block design that has not been validated. It is possible that design <$design_name> may result in errors during validation."
  688. close_bd_design $design_name
  689. }
  690. # End of cr_bd_design_1()
  691. cr_bd_design_1 ""
  692. set_property REGISTERED_WITH_MANAGER "1" [get_files design_1.bd ]
  693. set_property SYNTH_CHECKPOINT_MODE "Hierarchical" [get_files design_1.bd ]
  694. # Create 'synth_1' run (if not found)
  695. if {[string equal [get_runs -quiet synth_1] ""]} {
  696. create_run -name synth_1 -part xc7a100tcsg324-1 -flow {Vivado Synthesis 2018} -strategy "Vivado Synthesis Defaults" -report_strategy {No Reports} -constrset constrs_1
  697. } else {
  698. set_property strategy "Vivado Synthesis Defaults" [get_runs synth_1]
  699. set_property flow "Vivado Synthesis 2018" [get_runs synth_1]
  700. }
  701. set obj [get_runs synth_1]
  702. set_property set_report_strategy_name 1 $obj
  703. set_property report_strategy {Vivado Synthesis Default Reports} $obj
  704. set_property set_report_strategy_name 0 $obj
  705. # Create 'synth_1_synth_report_utilization_0' report (if not found)
  706. if { [ string equal [get_report_configs -of_objects [get_runs synth_1] synth_1_synth_report_utilization_0] "" ] } {
  707. create_report_config -report_name synth_1_synth_report_utilization_0 -report_type report_utilization:1.0 -steps synth_design -runs synth_1
  708. }
  709. set obj [get_report_configs -of_objects [get_runs synth_1] synth_1_synth_report_utilization_0]
  710. if { $obj != "" } {
  711. set_property -name "display_name" -value "synth_1_synth_report_utilization_0" -objects $obj
  712. }
  713. set obj [get_runs synth_1]
  714. set_property -name "part" -value "xc7a100tcsg324-1" -objects $obj
  715. set_property -name "strategy" -value "Vivado Synthesis Defaults" -objects $obj
  716. # set the current synth run
  717. current_run -synthesis [get_runs synth_1]
  718. # Create 'impl_1' run (if not found)
  719. if {[string equal [get_runs -quiet impl_1] ""]} {
  720. create_run -name impl_1 -part xc7a100tcsg324-1 -flow {Vivado Implementation 2018} -strategy "Vivado Implementation Defaults" -report_strategy {No Reports} -constrset constrs_1 -parent_run synth_1
  721. } else {
  722. set_property strategy "Vivado Implementation Defaults" [get_runs impl_1]
  723. set_property flow "Vivado Implementation 2018" [get_runs impl_1]
  724. }
  725. set obj [get_runs impl_1]
  726. set_property set_report_strategy_name 1 $obj
  727. set_property report_strategy {Vivado Implementation Default Reports} $obj
  728. set_property set_report_strategy_name 0 $obj
  729. # Create 'impl_1_init_report_timing_summary_0' report (if not found)
  730. if { [ string equal [get_report_configs -of_objects [get_runs impl_1] impl_1_init_report_timing_summary_0] "" ] } {
  731. create_report_config -report_name impl_1_init_report_timing_summary_0 -report_type report_timing_summary:1.0 -steps init_design -runs impl_1
  732. }
  733. set obj [get_report_configs -of_objects [get_runs impl_1] impl_1_init_report_timing_summary_0]
  734. if { $obj != "" } {
  735. set_property -name "is_enabled" -value "0" -objects $obj
  736. set_property -name "display_name" -value "impl_1_init_report_timing_summary_0" -objects $obj
  737. }
  738. # Create 'impl_1_opt_report_drc_0' report (if not found)
  739. if { [ string equal [get_report_configs -of_objects [get_runs impl_1] impl_1_opt_report_drc_0] "" ] } {
  740. create_report_config -report_name impl_1_opt_report_drc_0 -report_type report_drc:1.0 -steps opt_design -runs impl_1
  741. }
  742. set obj [get_report_configs -of_objects [get_runs impl_1] impl_1_opt_report_drc_0]
  743. if { $obj != "" } {
  744. set_property -name "display_name" -value "impl_1_opt_report_drc_0" -objects $obj
  745. }
  746. # Create 'impl_1_opt_report_timing_summary_0' report (if not found)
  747. if { [ string equal [get_report_configs -of_objects [get_runs impl_1] impl_1_opt_report_timing_summary_0] "" ] } {
  748. create_report_config -report_name impl_1_opt_report_timing_summary_0 -report_type report_timing_summary:1.0 -steps opt_design -runs impl_1
  749. }
  750. set obj [get_report_configs -of_objects [get_runs impl_1] impl_1_opt_report_timing_summary_0]
  751. if { $obj != "" } {
  752. set_property -name "is_enabled" -value "0" -objects $obj
  753. set_property -name "display_name" -value "impl_1_opt_report_timing_summary_0" -objects $obj
  754. }
  755. # Create 'impl_1_power_opt_report_timing_summary_0' report (if not found)
  756. if { [ string equal [get_report_configs -of_objects [get_runs impl_1] impl_1_power_opt_report_timing_summary_0] "" ] } {
  757. create_report_config -report_name impl_1_power_opt_report_timing_summary_0 -report_type report_timing_summary:1.0 -steps power_opt_design -runs impl_1
  758. }
  759. set obj [get_report_configs -of_objects [get_runs impl_1] impl_1_power_opt_report_timing_summary_0]
  760. if { $obj != "" } {
  761. set_property -name "is_enabled" -value "0" -objects $obj
  762. set_property -name "display_name" -value "impl_1_power_opt_report_timing_summary_0" -objects $obj
  763. }
  764. # Create 'impl_1_place_report_io_0' report (if not found)
  765. if { [ string equal [get_report_configs -of_objects [get_runs impl_1] impl_1_place_report_io_0] "" ] } {
  766. create_report_config -report_name impl_1_place_report_io_0 -report_type report_io:1.0 -steps place_design -runs impl_1
  767. }
  768. set obj [get_report_configs -of_objects [get_runs impl_1] impl_1_place_report_io_0]
  769. if { $obj != "" } {
  770. set_property -name "display_name" -value "impl_1_place_report_io_0" -objects $obj
  771. }
  772. # Create 'impl_1_place_report_utilization_0' report (if not found)
  773. if { [ string equal [get_report_configs -of_objects [get_runs impl_1] impl_1_place_report_utilization_0] "" ] } {
  774. create_report_config -report_name impl_1_place_report_utilization_0 -report_type report_utilization:1.0 -steps place_design -runs impl_1
  775. }
  776. set obj [get_report_configs -of_objects [get_runs impl_1] impl_1_place_report_utilization_0]
  777. if { $obj != "" } {
  778. set_property -name "display_name" -value "impl_1_place_report_utilization_0" -objects $obj
  779. }
  780. # Create 'impl_1_place_report_control_sets_0' report (if not found)
  781. if { [ string equal [get_report_configs -of_objects [get_runs impl_1] impl_1_place_report_control_sets_0] "" ] } {
  782. create_report_config -report_name impl_1_place_report_control_sets_0 -report_type report_control_sets:1.0 -steps place_design -runs impl_1
  783. }
  784. set obj [get_report_configs -of_objects [get_runs impl_1] impl_1_place_report_control_sets_0]
  785. if { $obj != "" } {
  786. set_property -name "display_name" -value "impl_1_place_report_control_sets_0" -objects $obj
  787. }
  788. # Create 'impl_1_place_report_incremental_reuse_0' report (if not found)
  789. if { [ string equal [get_report_configs -of_objects [get_runs impl_1] impl_1_place_report_incremental_reuse_0] "" ] } {
  790. create_report_config -report_name impl_1_place_report_incremental_reuse_0 -report_type report_incremental_reuse:1.0 -steps place_design -runs impl_1
  791. }
  792. set obj [get_report_configs -of_objects [get_runs impl_1] impl_1_place_report_incremental_reuse_0]
  793. if { $obj != "" } {
  794. set_property -name "is_enabled" -value "0" -objects $obj
  795. set_property -name "display_name" -value "impl_1_place_report_incremental_reuse_0" -objects $obj
  796. }
  797. # Create 'impl_1_place_report_incremental_reuse_1' report (if not found)
  798. if { [ string equal [get_report_configs -of_objects [get_runs impl_1] impl_1_place_report_incremental_reuse_1] "" ] } {
  799. create_report_config -report_name impl_1_place_report_incremental_reuse_1 -report_type report_incremental_reuse:1.0 -steps place_design -runs impl_1
  800. }
  801. set obj [get_report_configs -of_objects [get_runs impl_1] impl_1_place_report_incremental_reuse_1]
  802. if { $obj != "" } {
  803. set_property -name "is_enabled" -value "0" -objects $obj
  804. set_property -name "display_name" -value "impl_1_place_report_incremental_reuse_1" -objects $obj
  805. }
  806. # Create 'impl_1_place_report_timing_summary_0' report (if not found)
  807. if { [ string equal [get_report_configs -of_objects [get_runs impl_1] impl_1_place_report_timing_summary_0] "" ] } {
  808. create_report_config -report_name impl_1_place_report_timing_summary_0 -report_type report_timing_summary:1.0 -steps place_design -runs impl_1
  809. }
  810. set obj [get_report_configs -of_objects [get_runs impl_1] impl_1_place_report_timing_summary_0]
  811. if { $obj != "" } {
  812. set_property -name "is_enabled" -value "0" -objects $obj
  813. set_property -name "display_name" -value "impl_1_place_report_timing_summary_0" -objects $obj
  814. }
  815. # Create 'impl_1_post_place_power_opt_report_timing_summary_0' report (if not found)
  816. if { [ string equal [get_report_configs -of_objects [get_runs impl_1] impl_1_post_place_power_opt_report_timing_summary_0] "" ] } {
  817. create_report_config -report_name impl_1_post_place_power_opt_report_timing_summary_0 -report_type report_timing_summary:1.0 -steps post_place_power_opt_design -runs impl_1
  818. }
  819. set obj [get_report_configs -of_objects [get_runs impl_1] impl_1_post_place_power_opt_report_timing_summary_0]
  820. if { $obj != "" } {
  821. set_property -name "is_enabled" -value "0" -objects $obj
  822. set_property -name "display_name" -value "impl_1_post_place_power_opt_report_timing_summary_0" -objects $obj
  823. }
  824. # Create 'impl_1_phys_opt_report_timing_summary_0' report (if not found)
  825. if { [ string equal [get_report_configs -of_objects [get_runs impl_1] impl_1_phys_opt_report_timing_summary_0] "" ] } {
  826. create_report_config -report_name impl_1_phys_opt_report_timing_summary_0 -report_type report_timing_summary:1.0 -steps phys_opt_design -runs impl_1
  827. }
  828. set obj [get_report_configs -of_objects [get_runs impl_1] impl_1_phys_opt_report_timing_summary_0]
  829. if { $obj != "" } {
  830. set_property -name "is_enabled" -value "0" -objects $obj
  831. set_property -name "display_name" -value "impl_1_phys_opt_report_timing_summary_0" -objects $obj
  832. }
  833. # Create 'impl_1_route_report_drc_0' report (if not found)
  834. if { [ string equal [get_report_configs -of_objects [get_runs impl_1] impl_1_route_report_drc_0] "" ] } {
  835. create_report_config -report_name impl_1_route_report_drc_0 -report_type report_drc:1.0 -steps route_design -runs impl_1
  836. }
  837. set obj [get_report_configs -of_objects [get_runs impl_1] impl_1_route_report_drc_0]
  838. if { $obj != "" } {
  839. set_property -name "display_name" -value "impl_1_route_report_drc_0" -objects $obj
  840. }
  841. # Create 'impl_1_route_report_methodology_0' report (if not found)
  842. if { [ string equal [get_report_configs -of_objects [get_runs impl_1] impl_1_route_report_methodology_0] "" ] } {
  843. create_report_config -report_name impl_1_route_report_methodology_0 -report_type report_methodology:1.0 -steps route_design -runs impl_1
  844. }
  845. set obj [get_report_configs -of_objects [get_runs impl_1] impl_1_route_report_methodology_0]
  846. if { $obj != "" } {
  847. set_property -name "display_name" -value "impl_1_route_report_methodology_0" -objects $obj
  848. }
  849. # Create 'impl_1_route_report_power_0' report (if not found)
  850. if { [ string equal [get_report_configs -of_objects [get_runs impl_1] impl_1_route_report_power_0] "" ] } {
  851. create_report_config -report_name impl_1_route_report_power_0 -report_type report_power:1.0 -steps route_design -runs impl_1
  852. }
  853. set obj [get_report_configs -of_objects [get_runs impl_1] impl_1_route_report_power_0]
  854. if { $obj != "" } {
  855. set_property -name "display_name" -value "impl_1_route_report_power_0" -objects $obj
  856. }
  857. # Create 'impl_1_route_report_route_status_0' report (if not found)
  858. if { [ string equal [get_report_configs -of_objects [get_runs impl_1] impl_1_route_report_route_status_0] "" ] } {
  859. create_report_config -report_name impl_1_route_report_route_status_0 -report_type report_route_status:1.0 -steps route_design -runs impl_1
  860. }
  861. set obj [get_report_configs -of_objects [get_runs impl_1] impl_1_route_report_route_status_0]
  862. if { $obj != "" } {
  863. set_property -name "display_name" -value "impl_1_route_report_route_status_0" -objects $obj
  864. }
  865. # Create 'impl_1_route_report_timing_summary_0' report (if not found)
  866. if { [ string equal [get_report_configs -of_objects [get_runs impl_1] impl_1_route_report_timing_summary_0] "" ] } {
  867. create_report_config -report_name impl_1_route_report_timing_summary_0 -report_type report_timing_summary:1.0 -steps route_design -runs impl_1
  868. }
  869. set obj [get_report_configs -of_objects [get_runs impl_1] impl_1_route_report_timing_summary_0]
  870. if { $obj != "" } {
  871. set_property -name "display_name" -value "impl_1_route_report_timing_summary_0" -objects $obj
  872. }
  873. # Create 'impl_1_route_report_incremental_reuse_0' report (if not found)
  874. if { [ string equal [get_report_configs -of_objects [get_runs impl_1] impl_1_route_report_incremental_reuse_0] "" ] } {
  875. create_report_config -report_name impl_1_route_report_incremental_reuse_0 -report_type report_incremental_reuse:1.0 -steps route_design -runs impl_1
  876. }
  877. set obj [get_report_configs -of_objects [get_runs impl_1] impl_1_route_report_incremental_reuse_0]
  878. if { $obj != "" } {
  879. set_property -name "display_name" -value "impl_1_route_report_incremental_reuse_0" -objects $obj
  880. }
  881. # Create 'impl_1_route_report_clock_utilization_0' report (if not found)
  882. if { [ string equal [get_report_configs -of_objects [get_runs impl_1] impl_1_route_report_clock_utilization_0] "" ] } {
  883. create_report_config -report_name impl_1_route_report_clock_utilization_0 -report_type report_clock_utilization:1.0 -steps route_design -runs impl_1
  884. }
  885. set obj [get_report_configs -of_objects [get_runs impl_1] impl_1_route_report_clock_utilization_0]
  886. if { $obj != "" } {
  887. set_property -name "display_name" -value "impl_1_route_report_clock_utilization_0" -objects $obj
  888. }
  889. # Create 'impl_1_route_report_bus_skew_0' report (if not found)
  890. if { [ string equal [get_report_configs -of_objects [get_runs impl_1] impl_1_route_report_bus_skew_0] "" ] } {
  891. create_report_config -report_name impl_1_route_report_bus_skew_0 -report_type report_bus_skew:1.1 -steps route_design -runs impl_1
  892. }
  893. set obj [get_report_configs -of_objects [get_runs impl_1] impl_1_route_report_bus_skew_0]
  894. if { $obj != "" } {
  895. set_property -name "display_name" -value "impl_1_route_report_bus_skew_0" -objects $obj
  896. }
  897. # Create 'impl_1_post_route_phys_opt_report_timing_summary_0' report (if not found)
  898. if { [ string equal [get_report_configs -of_objects [get_runs impl_1] impl_1_post_route_phys_opt_report_timing_summary_0] "" ] } {
  899. create_report_config -report_name impl_1_post_route_phys_opt_report_timing_summary_0 -report_type report_timing_summary:1.0 -steps post_route_phys_opt_design -runs impl_1
  900. }
  901. set obj [get_report_configs -of_objects [get_runs impl_1] impl_1_post_route_phys_opt_report_timing_summary_0]
  902. if { $obj != "" } {
  903. set_property -name "display_name" -value "impl_1_post_route_phys_opt_report_timing_summary_0" -objects $obj
  904. }
  905. # Create 'impl_1_post_route_phys_opt_report_bus_skew_0' report (if not found)
  906. if { [ string equal [get_report_configs -of_objects [get_runs impl_1] impl_1_post_route_phys_opt_report_bus_skew_0] "" ] } {
  907. create_report_config -report_name impl_1_post_route_phys_opt_report_bus_skew_0 -report_type report_bus_skew:1.1 -steps post_route_phys_opt_design -runs impl_1
  908. }
  909. set obj [get_report_configs -of_objects [get_runs impl_1] impl_1_post_route_phys_opt_report_bus_skew_0]
  910. if { $obj != "" } {
  911. set_property -name "display_name" -value "impl_1_post_route_phys_opt_report_bus_skew_0" -objects $obj
  912. }
  913. set obj [get_runs impl_1]
  914. set_property -name "part" -value "xc7a100tcsg324-1" -objects $obj
  915. set_property -name "strategy" -value "Vivado Implementation Defaults" -objects $obj
  916. set_property -name "steps.write_bitstream.args.readback_file" -value "0" -objects $obj
  917. set_property -name "steps.write_bitstream.args.verbose" -value "0" -objects $obj
  918. # set the current impl run
  919. current_run -implementation [get_runs impl_1]
  920. puts "INFO: Project created:${_xil_proj_name_}"
  921. set obj [get_dashboards default_dashboard]
  922. # Create 'drc_1' gadget (if not found)
  923. if {[string equal [get_dashboard_gadgets -of_objects [get_dashboards default_dashboard] [ list "drc_1" ] ] ""]} {
  924. create_dashboard_gadget -name {drc_1} -type drc
  925. }
  926. set obj [get_dashboard_gadgets -of_objects [get_dashboards default_dashboard] [ list "drc_1" ] ]
  927. set_property -name "reports" -value "impl_1#impl_1_route_report_drc_0" -objects $obj
  928. # Create 'methodology_1' gadget (if not found)
  929. if {[string equal [get_dashboard_gadgets -of_objects [get_dashboards default_dashboard] [ list "methodology_1" ] ] ""]} {
  930. create_dashboard_gadget -name {methodology_1} -type methodology
  931. }
  932. set obj [get_dashboard_gadgets -of_objects [get_dashboards default_dashboard] [ list "methodology_1" ] ]
  933. set_property -name "reports" -value "impl_1#impl_1_route_report_methodology_0" -objects $obj
  934. # Create 'power_1' gadget (if not found)
  935. if {[string equal [get_dashboard_gadgets -of_objects [get_dashboards default_dashboard] [ list "power_1" ] ] ""]} {
  936. create_dashboard_gadget -name {power_1} -type power
  937. }
  938. set obj [get_dashboard_gadgets -of_objects [get_dashboards default_dashboard] [ list "power_1" ] ]
  939. set_property -name "reports" -value "impl_1#impl_1_route_report_power_0" -objects $obj
  940. # Create 'timing_1' gadget (if not found)
  941. if {[string equal [get_dashboard_gadgets -of_objects [get_dashboards default_dashboard] [ list "timing_1" ] ] ""]} {
  942. create_dashboard_gadget -name {timing_1} -type timing
  943. }
  944. set obj [get_dashboard_gadgets -of_objects [get_dashboards default_dashboard] [ list "timing_1" ] ]
  945. set_property -name "reports" -value "impl_1#impl_1_route_report_timing_summary_0" -objects $obj
  946. # Create 'utilization_1' gadget (if not found)
  947. if {[string equal [get_dashboard_gadgets -of_objects [get_dashboards default_dashboard] [ list "utilization_1" ] ] ""]} {
  948. create_dashboard_gadget -name {utilization_1} -type utilization
  949. }
  950. set obj [get_dashboard_gadgets -of_objects [get_dashboards default_dashboard] [ list "utilization_1" ] ]
  951. set_property -name "reports" -value "synth_1#synth_1_synth_report_utilization_0" -objects $obj
  952. set_property -name "run.step" -value "synth_design" -objects $obj
  953. set_property -name "run.type" -value "synthesis" -objects $obj
  954. # Create 'utilization_2' gadget (if not found)
  955. if {[string equal [get_dashboard_gadgets -of_objects [get_dashboards default_dashboard] [ list "utilization_2" ] ] ""]} {
  956. create_dashboard_gadget -name {utilization_2} -type utilization
  957. }
  958. set obj [get_dashboard_gadgets -of_objects [get_dashboards default_dashboard] [ list "utilization_2" ] ]
  959. set_property -name "reports" -value "impl_1#impl_1_place_report_utilization_0" -objects $obj
  960. move_dashboard_gadget -name {utilization_1} -row 0 -col 0
  961. move_dashboard_gadget -name {power_1} -row 1 -col 0
  962. move_dashboard_gadget -name {drc_1} -row 2 -col 0
  963. move_dashboard_gadget -name {timing_1} -row 0 -col 1
  964. move_dashboard_gadget -name {utilization_2} -row 1 -col 1
  965. move_dashboard_gadget -name {methodology_1} -row 2 -col 1
  966. # Set current dashboard to 'default_dashboard'
  967. current_dashboard default_dashboard