neuron.vhd 792 B

1234567891011121314151617181920212223242526272829303132333435363738394041424344454647
  1. library IEEE;
  2. use IEEE.STD_LOGIC_1164.ALL;
  3. use work.myPackage.ALL;
  4. entity neuron is
  5. Port (
  6. inputs : in dataVector;
  7. weights : in dataVector;
  8. bias : in dataType;
  9. clk : in std_logic;
  10. outp : out dataType);
  11. end neuron;
  12. architecture Behavioral of neuron is
  13. component mac is
  14. port (
  15. inputs : in dataVector;
  16. weights : in dataVector;
  17. bias : in dataType;
  18. outp : out dataType);
  19. end component;
  20. component sigmoid is
  21. port (
  22. inp : in dataType;
  23. clk : in std_logic;
  24. outp : out dataType);
  25. end component;
  26. signal var1 : dataType;
  27. begin
  28. mac1: mac port map (
  29. inputs => inputs,
  30. weights => weights,
  31. bias => bias,
  32. outp => var1
  33. );
  34. sig1: sigmoid port map (
  35. inp => var1,
  36. clk => clk,
  37. outp => outp
  38. );
  39. end Behavioral;