globals.vhd 594 B

12345678910111213141516171819202122232425262728
  1. library IEEE;
  2. use IEEE.STD_LOGIC_1164.ALL;
  3. use ieee.math_real.all;
  4. package myPackage is
  5. constant nNodes : integer := 10;
  6. constant nBits : integer := 32;
  7. subtype dataType is std_logic_vector(nBits-1 downto 0);
  8. subtype dataTypeAdder is std_logic_vector(integer(ceil(log2(real(nBits)))) downto 0);
  9. type dataVector is array(0 to nNodes-1) of std_logic_vector(nBits-1 downto 0);
  10. end myPackage;
  11. library IEEE;
  12. use IEEE.STD_LOGIC_1164.ALL;
  13. use work.myPackage.ALL;
  14. entity globals is
  15. -- Port ( );
  16. end globals;
  17. architecture Behavioral of globals is
  18. begin
  19. end Behavioral;